From fe2558dda00ecd3124253e6a68fdf6c6b8e51e25 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Nicolas=20Hord=C3=A9?= Date: Sat, 17 Mar 2007 11:48:35 +0000 Subject: [PATCH] =?UTF-8?q?feat:=20logiciel=20permettant=20d'explorer=20le?= =?UTF-8?q?s=20ressources=20d'un=20ex=C3=A9cutable=20au=20format=20CE=20(s?= =?UTF-8?q?ections,=20importations,=20exportations)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- outils/CE explorer/icone.ico | Bin 0 -> 766 bytes outils/CE explorer/imgs.bmp | Bin 0 -> 8698 bytes outils/CE explorer/project1.bpr | 139 +++ outils/CE explorer/project1.cpp | 34 + outils/CE explorer/project1.res | Bin 0 -> 1648 bytes outils/CE explorer/project1.tds | Bin 0 -> 524288 bytes outils/CE explorer/unit1.cpp | 273 ++++++ outils/CE explorer/unit1.ddp | Bin 0 -> 51 bytes outils/CE explorer/unit1.dfm | 1434 +++++++++++++++++++++++++++++++ outils/CE explorer/unit1.h | 37 + 10 files changed, 1917 insertions(+) create mode 100644 outils/CE explorer/icone.ico create mode 100644 outils/CE explorer/imgs.bmp create mode 100644 outils/CE explorer/project1.bpr create mode 100644 outils/CE explorer/project1.cpp create mode 100644 outils/CE explorer/project1.res create mode 100644 outils/CE explorer/project1.tds create mode 100644 outils/CE explorer/unit1.cpp create mode 100644 outils/CE explorer/unit1.ddp create mode 100644 outils/CE explorer/unit1.dfm create mode 100644 outils/CE explorer/unit1.h diff --git a/outils/CE explorer/icone.ico b/outils/CE explorer/icone.ico new file mode 100644 index 0000000000000000000000000000000000000000..369777caee2e28c5ce37b4ee291c716b399ba1ed GIT binary patch literal 766 zcmcgqu@S;B415E*RN#&lO2)_t8A&BwMv4cZU;u(sQe^^&cpGwYIB2+ow_oz>Eu9Mk zhHG1Zae>PWSOeIRTe2WSJ%ZkQ2*3@IM`Gj=&N=2_XxvZ@Cz30aLii!FY&yI=mT4hd zHmL-f=9m_2^WxtoHekc;eT1ZqJdgSi;J(uF{g3`QzHIsaabC9SSROUHu1&S=Ui_YR zdOyBD>8Ja5@DHT$6cw4Py1D9UAoba*`i(Qb7UT6v&s>qY0CO?dfw`F8iqQ>O3#WX- E9r-W5R{#J2 literal 0 HcmV?d00001 diff --git a/outils/CE explorer/imgs.bmp b/outils/CE explorer/imgs.bmp new file mode 100644 index 0000000000000000000000000000000000000000..9896f7dd8679fe03d7dfed3fe939a11ceb631a1c GIT binary patch literal 8698 zcmeI0y>1&<6ofZGfWQ!lz@-Wo>C&e(+yrd(E~+9oj#LDY1;i#(vhphsNJ#|n1Greh zD%5PB%5cXxMU^9el*gb^_5$8qP2G5Q74Xrf+pMh|czCetR?7hEmWzNh z9#8iv&9DTkTY3x{Wd!|flmJi(`dJRcvi&S)Gia2(fJMt=ki%N|`OTY3V2}yeNa+~} zN-$BHVF~lG$DmOJR@DFaEDS@&u`~(InVTwaH|U*B>^0Xb^v){aa*IQ~v)y*v?47F* zI8p(00{7g^ZP7FH1h}UF9>6W?s{{lkASeOBXTuvHC_#WHC{IkS1my|EQv(*;vzZbQ zlz@$-1Oz1@C_#WHC=aGCPk?Gr9w@xfm4KiG1SKFS0YM1@@+_n$rdAEg6N+j;Yc?oj_eRT?as4z7O!xm0_Y0Nb8}+7Q6H_Zec|uVF zeo&8UF!ZAu480O?m=X}YqPUm>vIgm~cbc96orUtGavHs3ia{#Nv0?y)yU=6Xch-D5 z49Bb0!&QE0jXHi9cl+{}@<17mj%IZh07^hb2?$C+ zP=bIs3-ZL&szG@|Q4OeEZlgmAG;E|?eIQW5QK`Lm@R_yb>%*XqC!C+29@=-7OYivd z#MJHyP{-Ra^H9NtR|x>9TOwFx;z1@L3x;9z5cCg08j!dN0VC!J7vCk4g z4ts^YMv4Iy97w&!_Z&VQpE*EvJmBno_tk;>o%h{?o%KqIaSOCo3S~aj8DQjSmH842Gz+4A!o8S{* zonqUOvwaO$OPrVqBU$Uz;nud$A%eCR8^vm2t zX@)sqo$>@Uihw`0D1kvHU?cf3Bp@ikL}`X;>hd5#d3J%nt|);)CLm~Pf)Y%WW|$I` z2aA^HFt7)-Xftf8;FY(JH@`kH1rOKwtbG*l@*NKQ1jGwJ{)Hod-)WRRaAOS;o&X=V z3oqaOjvvM%fk7r9XljBIOq6Dr)+rAbEzd4s*R2EwnShO?sR>FjQJP^&P#!E=o?WnV zXAKN80YOs}lwhJX!<3*rShPHc!3O1`&9Hq6n&-PGA>hyT!$%3$y)S~SD&~v?4v~Nm9@}^Pnqz{A(hLjmsUx*RqX_&h?W+MBNmCP4gNf1% z)6^r+{AA?W0bb~;frHLM>^puIV&7p6v^k`r1S7?_8r5K;DCkIOrXKB8JL~vqv>^XK L{9l28Sb@I*f(N(z literal 0 HcmV?d00001 diff --git a/outils/CE explorer/project1.bpr b/outils/CE explorer/project1.bpr new file mode 100644 index 0000000..8011eea --- /dev/null +++ b/outils/CE explorer/project1.bpr @@ -0,0 +1,139 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +[Version Info] +IncludeVerInfo=1 +AutoIncBuild=1 +MajorVer=1 +MinorVer=0 +Release=0 +Build=0 +Debug=0 +PreRelease=0 +Special=0 +Private=0 +DLL=0 +Locale=1036 +CodePage=1252 + +[Version Info Keys] +CompanyName=COS2000 +FileDescription=Cos2000 lib explorer +FileVersion=1.0.0.0 +InternalName= +LegalCopyright= +LegalTrademarks= +OriginalFilename= +ProductName=Cos2000 lib explorer +ProductVersion=1.0.0.0 +Comments=FOR CE ONLY + +[Excluded Packages] +..\lib\DragDrop_B5.bpl=(untitled) +..\lib\Moje_B5.bpl=(untitled) +..\lib\DriveDir_B5.bpl=(untitled) +..\lib\tb2k_cb6.bpl=(untitled) +..\lib\tb2kdsgn_cb6.bpl=(untitled) +..\lib\tbx_cb6.bpl=(untitled) +..\lib\tbxdsgn_cb6.bpl=(untitled) +d:\cbuilder6\Bin\dclite60.bpl=Borland Integrated Translation Environment + +[HistoryLists\hlIncludePath] +Count=1 +Item0=D:\CBuilder6\Bin;C:\Documents and Settings\admin\Bureau\perso\cos\CE explorer;$(BCB)\include;$(BCB)\include\vcl + +[HistoryLists\hlLibraryPath] +Count=1 +Item0=D:\CBuilder6\Bin;C:\Documents and Settings\admin\Bureau\perso\cos\CE explorer;$(BCB)\Projects\Lib;$(BCB)\lib\obj;$(BCB)\lib + +[HistoryLists\hlDebugSourcePath] +Count=1 +Item0=$(BCB)\source\vcl + +[Debugging] +DebugSourceDirs=$(BCB)\source\vcl + +[Parameters] +RunParams= +Launcher= +UseLauncher=0 +DebugCWD= +HostApplication= +RemoteHost= +RemotePath= +RemoteLauncher= +RemoteCWD= +RemoteDebug=0 + +[Compiler] +ShowInfoMsgs=0 +LinkDebugVcl=0 +LinkCGLIB=0 + +[CORBA] +AddServerUnit=1 +AddClientUnit=1 +PrecompiledHeaders=1 + + \ No newline at end of file diff --git a/outils/CE explorer/project1.cpp b/outils/CE explorer/project1.cpp new file mode 100644 index 0000000..b6c7655 --- /dev/null +++ b/outils/CE explorer/project1.cpp @@ -0,0 +1,34 @@ +//--------------------------------------------------------------------------- + +#include +#pragma hdrstop +//--------------------------------------------------------------------------- +USEFORM("Unit1.cpp", Form1); +//--------------------------------------------------------------------------- +WINAPI WinMain(HINSTANCE, HINSTANCE, LPSTR, int) +{ + try + { + Application->Initialize(); + Application->Title = "CE explorer"; + Application->CreateForm(__classid(TForm1), &Form1); + Application->Run(); + } + catch (Exception &exception) + { + Application->ShowException(&exception); + } + catch (...) + { + try + { + throw Exception(""); + } + catch (Exception &exception) + { + Application->ShowException(&exception); + } + } + return 0; +} +//--------------------------------------------------------------------------- diff --git a/outils/CE explorer/project1.res b/outils/CE explorer/project1.res new file mode 100644 index 0000000000000000000000000000000000000000..e48ea5439ef6630f1d73f362413f854bdc65d807 GIT binary patch literal 1648 zcmcgrv2GJV5PcV)WeSsX0d-CYAw+=;a!-&=q@X~o7+Io<33%)Fg_GkXgFA=MbGT;}AgK#+u>T|ga36Y`K*e^=DH_Kichs3HFap|o9tkU2?mJJ#}4Nqj+i;Z zON@vL6;nrpl{Tpjau7y!Tw=Z^F~=CANBlch-J^A+xupvo+$BzaAn&WhyZkMpPVijM z9rOGF^F*tCBSzf5kZcBchLHHTJZDJUgzJf7EeDsgtNxQrt~tip-K?yqu4r{!G7TP)W# z;Gy=ZP3(x--mpj097Eyrr~PT@9p!TsyHxB^9aUCl?^ReuJYOo2@9cp0(B%Dx`u*+U gDg7-iva2<_iq>Bba9zJV+ntD+hD2uXyXw#VAJ05CK>z>% literal 0 HcmV?d00001 diff --git a/outils/CE explorer/project1.tds b/outils/CE explorer/project1.tds new file mode 100644 index 0000000000000000000000000000000000000000..5a0a715144de630c2a0480d0a3833a5ef51d3030 GIT binary patch literal 524288 zcmeFadv{yQaW9COweHNlYi_O`JATBD6UUb9ICg9TBtS69jY08oL|YVjMCi!LojY0( zxGC);5E}=tLwaVu>U@Ry3iGS#S9Nvo4N&o#KklNPSj6tC>gww1?&|95>eFXChyStl z_@74!JWAkE0*?}Sl)$3|9wqQ7fkz2EO5jlfj}my4z@r2nCGaSLM+rPi;D2NZ{7?TQ zH}0`*j}my4z@r2nCGaSLM+rPi;86mP5_purqXZr$@F;;t3AFy_*8j^=_)p`V>GJDe zj~2JBZw#*P7iZIQIe6Rd?e=^6LtlS5P#+F<^oO?o&}n^#YALCf!Dq#CI9WXT>Z|!$ z!*%tCUhBIa@r&_<*nIL;Rh3oi+w%L#9erQ7{?dOO5a{K{_o!x3ma}zhFBDyl#ve!T zix<`Cnz)$Vb#}qrvbY`ClC$YeH5|R06s=x@^?Ewl0xxjQjJzn{nf87!&?5#92el39wY9f9^|qEn zxBk~R<@9`1PSJ%=?v_v5ee}p`Sxy#%le=*-BVn}KL`i)dJb5=>&YyfWzssWhfT_*1 zW#2b7?Sm-w{o!;`UM=V4^nL3WR1tj}UcCFV7%xR7dvQtYuk$=z6Hlbz-!YYFG{e^{ z%hwMFZ=W1JKRkUhurdLq#caK7&&FlT6h5mjJU%+g7ycE5OV7CubK z9fNynaJQ4&&wz7$^jTeXUVc9}w4ZMT{Mlf5TFr0UuCFkz+x?@-Xt5|3gW*wiJFBL} zblLjlfWYvH{@DclXWV(*Z}{n75ulMG3yp>5K|YCSLK?W0LK{>bx1TkF%`JJ8Qp<#Jgx!hYK{s@)cXu)}Va zW8+&!g(L9)%-@_`=-2Ft`}Hly%aeD$8-Mixsh6$qDQHi|4Oz?i(Q2`*Zs|wsZ<(P^ z&z4+`=hb9_v5X;fR85!og}Iz&mOa;ZPmhbq>_d4rg}81;9Kv_i!O`#qQsPp z0sO7O;5C-PHZQEa7}9!TzuCpJX#JY!7n%y~7_#d`-L&u!Ep>x84 zsfwn{hoZK*Qkpflo*C@qOcUtVIJ;cU8BpwSqJP4?m7iGmOtXIXFs!Fs)$f61^)EkB z(sF^t>%}Ix|L7XY3nehlf#EyUk2B)+_~_+>SS?#!!^Fh{(f)=jyG*b=H$OIXKd*=Q zU*1v=v|In1Rr6=vx4SSIY1S2kM1umsLqKA;BeuLs^(qE5>G0Z7Ac4U@mdByRKR+%##T$ESPs^m@ivDHRW>QmgR;> z!htc{n1HU}GL&)Cboo$~KV=4Xy_hd8^&40%49onXbgPZb1f-LPVqRM40?uRohq??#hgDTrDjj~l{5WTFH<<0%cnp&1f7Mo&dB5#GhJ8+FU!KY$$dorI_^FhL)EQQJBkxq|* zv@9%E>hz%V|8KSa0~g3xfdA)Lt=6Mo;L$H&+%%7Vfy5wr^b0)t1&kBn(Jufm*`r_J z(J#QBmBrxEFW~))kA4B=aDDU(Wc?*2_UPnt}=+W^Ow;rqp`m|ACoc3YgB`oajMoD}m~6kmu_Wnasy zVsvdWwAbgvm&rQb%uDa%NZ1O6aM1WV_z!qtPOH`QdVsSzmVo!3okZpEBYDL0V)>!E z4w%}BcoG9hXUy4jjr02Jvs)aQ4EVe+61pr#sDrQZRj~-wX)hrJ;!C9r$w^r!LtiEl zo|Vf*9fB`|ugbG!oTZ-DAn`MkPYS1Q9zsgd`xR}Ki45z%bWfMq!GEJb*vI*gQU?8xGkG)+emzRj=<62@QPWBuaqEtMYSH*ZVnGB#>EZX2& zHw8j_)WO7{^)p7<_4B0wh&nmUoB|@#KjGj*46xzF*VE!U6r}@9LnNI$geTef?%0{E z2ich!po_!n(G15uiQZUIy?uMoNd}BP3t1c&HznAF1HcQ`QXOFGW66eN0b3#)>43p0 zMKh2koDf3U5vFMdpg4&H;Am2eY>ds1(o&r57fX({yqOx@4D1c{StWJCy5Xi+U7Lc3gUZTNYGCb%%S@tCd3vHmLxGGm zu^fHJ1Wb{6n7P#NJXpxqY_7>*sD$y6# z-I_AfZl0!oX=YbHZGoQQ0aX__XrfpL_ zhnT^5_d3coyH+ODjACS{nF6_982dE?Y6=ACiLvTZP+O3imA6vHF-LBY!g?a|5&^!;) zdJ<6}v#UEZ3?|H>Y#*~?3OeDu>LP1KS zOxVU7H`$1Wb4U?QUS%m3=Br!S=e`JQ-rxI1@Ylu3SF6#4&@gj%h$lFPxMbNz%%5wp zg2g0nK?8lj2LZwSH`ZVYZhq>?xX+ooLcGN@@+R0;?T}A63 zYC&_YQ7YD@kblPq43ch_ZgE5(=oW|k(4$)%(FeN45q;?B54-xqp8h}=IwBf9{Xuiu z)13A+r~8`Iea-2<=5$|kin%6cY+rM_uQ}b=+t zQHVZ*H%*+~<#6uG)@NQo5f=RBBW5j&mfQaBctWqI5vf{+L!T;)4J`jGQ857Yg)@!SIuGd!cT(8}0{kMb(^7!F00s=J`OQYm#+Pvkqb@TE`MP;~2 z<7S5tZ2qKb{dZ6N^>l8B>7S5%aF@`00m3#EmxV_=I93JNGh9rX%7M1F_{?ATE!CiRbL?4le zYu#6Ovm#TBi{;4-5BMogDJr9q7<*`_t)kJwKYx z$sKXDDsv;I^}AX$avVLZDPgYWt-fr_!-=Wx>x=FS#{^+lG!xfBLOHIE<~npqcSxLq zVJ``|1lQAD_?eUx15j06rc{xa7RmJyeH`OcEy}) z*q~ceM2LODxP|?slm|Fmr5zs(wZ`SoxQEQtZ3;WVr%ae!A!g?alm%~`h*C0mZPeL;U3omeSlz5@$$aPIt z5_R~KEE&qaAPI@e{MoN=as!NSbuEl&SnvzQ$KC@u=hOn7+=Ve*Tbmfn!sj2i%#Z57 z3ld5ob5HNV1i*;O1Sj1(}Rfy zLrW12lUmpSDG)b>J-E_Wb)pFa;lVU(l_HrIYn39NAHxn^H5++3TAb_ z8Px_TUbGLoa>3)E5@9ij#e|FedahhOy@S47T^JUvyej`_Lj=Uq;|lRFF@#Jr!o=W0 z3_w?yP^EAC;;TVpLGWOW6+xf&5J-ebNJ%q^oq$gv74sXc0M&5w=if`i@ zv|k31WzpVZHo~DMfEVl5uQma{LUhS!LAnFzf7$^3`Kp-T`$`FCe%F2b+3T}om^G2M z@52WYd^5B$eN|OUmyCiMQqwm9i4B|23PdGv$eHno_<1=Pw=fk{)%&eZXov}ilCIvI zB7%q*I3C9@s>1NHg*YI3Z;aB&+_R-uI4$M@xo-6XFJT))7rgU41sHL9GYnrXrsqs3 zqyjqPIgM@Y3Nc^al2c&NU0xKaQzC^);f~A2Y%;nhRX5P#5J+>FjEF`C#Hf(2@#sSR zfG2Zzg*j)F3Mm@UkkUmWAqNuR7mcP*!QQMmWAd#ePZvSPNr~ z#0{B#9BiCtvsyA>U(M^;H5|jHKgG%?Di?|rtppMzgs_RFQ2@ZBBP$Xnu>hiZg7o|B znAj=v9YK@r;c|(Y(Z|%~*|u;SNKt)6j=@SfaSO&kYMbhamZ>f;?_^Naf>Dr77-qtN z7EFoAKjg2;sfB@XT+M|4I*_5#8gyg3ZGkSjtHUiD>i(B3>lWF`paK6NiyxG& z0W~jIxd#$kMA0%aUFB=Xa4mS^oj25;mm1e@y`~Mw|CVjF*q_&S_U5?X^FW(g3KyoE zb>-xiTSl{f)t}ds!+;R73tbaKYqU8bO`r5KU z73aL2!ld%d3a?I18{}l_aFQh_w%ri_5VXK^x1DJ&MzgC;tvbI6n&=@Y2*=u%sf$-J z*A<7io;klw$;rCR3EN}TI#qK`iT9|&4)9Geu5CAE=Ge*g2(&+Tv4{oSktUex_I5Nx z1{qS2HDVY2f+K)1d6l;%j$i_yaKZ^d$7Cr^j=V%sTNng7ik#f`WCDa5#Og0{DQo+bAGq4~SAq z!prLfNat>+b0j2eI5LvnVU361h$%O7N$?Y!uenK7xYa{|iEo#8OXX(K%$*3p3n8Qp z&P&EwZ73{S*RO0Pv!1LuB-u_grW^? zGTZ`)4_*-40MSHoppq=uOoVND-df90T-hY$c@{2jQI7+n-4vTD2)_*`uOvqs=(?W5 zep>hz1bM84gj341mAF{WJ&|uyfrTA;qQESVO$)mL@+Z2<^vUIRX{>8zIty5=aH5i1 zMM9*5l&9H12c_F`K@Aa3DKWn#-cEs&*@;`zxFF`mwrNd#rsoN+2sxO?AKkGrlF#$z zAlUk$|H~4G$;mv;G?K+DuN<@v;e6Wco2yoSC;%v#_9G*`zk7hte>LS9HtgZ|TE|rb z^zKWsp%>XVQz4M?7Os}faN{&y4T&l*=>mxErN#>uK^;}Id$NbAE%G*<5!IJ!(@Gdr zw~v=HXyM|bFOa7LR_t-4RXwI~A4$v*9bAiwH9KDK6Mk*XTQ<~69)rt@eVZN}Fef~K zk|5}CzJup%f>Ud9Qzq6eaSCDaPs_X2EX6Nd;+$Gm6@>Os=9e9LOk-(M--A$KJPH$$ zmz9GEW-09sMu7dcel-CSyIkuCugzxWZ-3wG($Rx(~Kt1rB;;f zUtRiXcpj=Ge0u%j;J9kh2^ zVN*oA)R(wWzfGimR?FfhwbjMLgZ8dilg&K?YY^D93%rS5t5)HXPS^y(!j4hMfoqQm zGD6NBm|XZ>$gASIoa0(_1r(?akVDkj(Ls4z`d?_gz{n{t!50@5CRiIXs|&rwXjWyYzk zKbOqb9fiQLWC@*l$Ce`%0+oh+b+iMr^)f_NA#}*T4k#Qq0wj36MX-e|_u&afkYV}? zfeUJ`-_tADo?gNB^a=)tMXYSy=%_m4$EMq;Rb?4DCJ@7kLuQGQE(V2jHbszRePyXe z5dP_;8rdUX>lB2-O>ryM zfYi{KE{zu|H(e1gG@bG6-&7F+VQw=Z!cQO?b2-N}&v)Zg>)BHBqyQwANjnX5v7nnK(e2iO4GVJyxwqHwq4GI3|S;i$j>j-fh5{G?YTly%h7V> z;(5g(if9@(Fh+A~1`}@z4TRXy2?B4*YkSsapz^BjyCOGS&=BeKEv4t!)^zo;oB`}v zb!|s&tT{R%#{s{g>%8g6zH)$s94Ti+RdA+gYHidBIu4MaBSt~OMzPPatdk4YS0cAf zyw#e^&9rE&96Y%WCf2=Xk>o4sBeaCbI&s;tBH-LYnT>%Hjx9^m+fXhvBzKj+b&?DP z^q#}Wx@WUw(&0!=@=ftpNUl9K{r&77kF$}$;)!j4XQ!RfJ8CrYxRoQ92J?rZVW$7E zCH9+K5l3SSaaim+fa=V)yd}(Xi}wGMSsvB)r!dQfoo8t$c3ujS7^W#mGDC>tMOzU- zn*9l4616D&4|fYWpT#;N%Z*qF%FWR_E(jBJ$}y9WW~A_RMdX7WSxJqRFwT&S!W|-j zhgy>RJMDdWgcB3nXa3Rq5WDx?sE#eRmr>c);(hT)xYUI+VC zp1^4qoY|2bbN`&z@GUKVVG_$)mF1l-Rt#)S?<`xw?vjMh%V`4^=1Fm$Bk_QQT`^6t z+1kWaKZzBa_5m||ukR})IHu~v{*rBAPGR{2FLNxe!|Hj#TT`4~wB;EBJ`XDZ@Up;V z;xxkMYxUreG(zxQ73hWb!tz0F{fj0=EO|vDz5LhV< z1z}Ri@-S4Qqzw`z=)a*P2CD=!T5`q==Q>fwe@HyPz46vUeB`sgqjE>?@2IUQKIn-Z zThn-^KhP8Vfu7iL@n50z#CSKsg~mD_Rz6fZIsM0^q^Rfx_259Hz1W`Yyc28$Gvrkc z+^E_%ws-1-l1N(}G48k35hFe*iL`OV7%V-*I!S`(t=bU;iCp;^aC@YU?G3w6L)4w@ zZs?uYikI!sHgXdSyWJKyfMuIqZTZOtqSEXc`EEkn$lh;!tA{wzHTq+6C+9g)%7a9R zs)75$OUG-;)%|q*VO~vbh6^=@+wB9nLfHh~em$$Qg?{-G(F7K=Ch3AW=(m7oo#SU~ z{Hhe)SZ;u;WTdQvL(s{^bXd%9k!9cc%*EVl!HzLSYycrwflY&M=2fu-TPoxS5Fp`3 zhs|N+3gy)5P~+|}oB1{N?qVP0KoRt@TF_NQ7t||!rw+yd7)HerlP!jRu3WMKv6k6V zC5n{#n^B1;vaDxTTmth;gAByT_>6@v4keCPppZFNls_wOko^HMg>m8z5i=ZUo#Loa zrp_{>CdW#aeX^Va5)%*dUOtl~G&~4@9=AU+iSULkePs3gjZ^t#@eXggj!} z38>FU3s{24J8R~Zu=WX^#x%)qCIF0}oL4e4^q#EqdLWy z8ouM$GI^6#Q=pCHM*R{b}=w(uJ$$JWv65swr)B-qs*a3y+xFeFQ)4^ z{s)1T;%N`TzXTK6W3tuL*i5RAf{%SMMXSrRFqPZM9y$;dmJS?U+hJ5y(n5=5HcvNVkZJt0#l_UtPqK98hA_r825R&u)HG+Hx)U2atzhTfAQ>}h7|G;& zcGC`6Oap>L8Lc5sJtTy=9JUyaT%N?V<7+UjoSHB%!l;mSe)(iv!PsyQ zaAMwy;q0xe#h2wgiXzZZ?oQO=OL4iwUcYwIps+X2X1myRBmXJ#O3Xf#VeVh<+m~~n zemVaVi@k6p#8qNxLV6}@flf$)lRj7Dt72_l6vq0UT&;CLlmwj=hmE(zmtRKP#<)_G z5;+DIM|&|aZJ3C^d3$F$dVe)M99|7SE2YxK@JACY!i=zucw%RBM~5+V5XK-jfE0&g zUU1qpNs2AAK&tU2i+NZ83$4$%AR_S!tQuFN*bfU}v6za{A^?snvloUuVTB4UieRiq z$^b>)`;HF3=;-i^jt;-*AQWFL*|H*erKZ;X^F^4`1TNWU^d9D?`*y^+hM;TKG$W+Q z+F#3v0f9ghOV$UFdJYs84oBVFUSSrrSYokISkLkL=^tsIKe@QMS=hj4ah7Na2v@Ws z@CAlGUU6tf4<}?4spZB9(SBZ(??2Reqw{Dysb*Vi{Un2I7jxmJ=2{BEEh6~k!shl` zeBo)(`1uA~ym)Y^XDRnPy|&oho{v5jW}_%K*~aKYOz(NISd6f$4vT><==*cH=FG8{c+Ay=PA>V` zzi931b=5@LJ5aBU?(d+tBL&<^Ak<@;`)&15-F_QSdqp%lJ$2gO!9AAm`-Ygyw&Z=g znqgBalK3>dGRDIAu6bp-i!PeeF*3YXFgy$YgghJuD!lFc27{i%<&sO#F@bNoghS#; zfl_MZhsc&()|L#Zgahn!J&eO8?#<}Hn@7?`C?J%#Z#zhcLoZEt+78Qy^09<;ZOq5- z5yqdiiX}nur%4G@e$6KkH4i4EXd*I>fNMVe4yvnZCD_RiUHhL#NV#q zFAIoCZ4)VS*4W#_G)c_w`V@Y}9g)a~>ZWEcTHiB0-t*LPl0l`IEX)(#zJSA*xX3-T z?TgGUQDv4l72tbY%+@8B;p&;J#>q$Emrj8^9N=qTk@}2SaiUF_DBFSF*s+ zW*U1Q*pT?Xm)HquG2J2Npn?IiHW5OH-iPMSiOVqyB?WNvll^Y--80vXV5tC|Qc|D} zP)S2cQT7n1qdrg+QUq~;{93NIvxU{Af}SR~N6u~=V;vYdhb2-YH?llo(dGs zO%J4Si7O(9u4A(OOyftMxZ&5{f7+VWSGOI*53cdT*T}6~Kxpg<+^T&dW|;7JNW7{g zxLpf!H2*Q8`5+dRZsD>;tZeN*QrA08ArS=X+wlvRpF=-e@S`0MRMda=_)sy-M-Nl; zz&ts69*A9vwxjZs>FV}jwg1-hj@loL4xf5pAN7OAgQ~BD?1B4GbX0%k`6t=4`THOa zvN!d@BRLj|vpPfwhkw#zUB7!NaFe$lTiqV;Ni-8|Pt6 zeJ;D}69@>N=((E@)pguguz`Ae4`V4v8ghC#KC;k7QlZNE^2F8_K&6%&TsOLuVtP15 zAtl@KIGA+foObbtNR3oZh%h-~T*@J3GPVBI>0rF)2x30`mFE^*(F#q5zUhS6rXn*FdAgiD08Ois3+BLd?MnO}j4mJJqqk3S zGb>Es7GLyvN+8E_JjAK3M8A&VEQKahFlj`N!h=o0GbuL5vpIyx$Za;FUEdBKEDs`a zNVo&$Sfp&Y^qIDd-2Mi%vUTtAtoC^{Q42`(?)jk&Y~r{=axus97FExiXyK@#mXsQX z&2%1MS!R17TK}7D!z^|%%`jXaiv1AFGFy9?L{NL9Wtl_Ijfd@R%^bz5^=sd&;AmJG z50f6!ZUo?^%y?RXD@`m$?41G)=Uz{TP__lp(g9?u&2XUfD%XN6#fKQcIimRH9`(kO zJz@j3^-M}?g8`f)x@=buw}5kma1o4-hxYdNd{!2*@-*1Lv?6hYt&1bzNVOgs3(d>^Y*yDdSWb1OHPfWDLj1xZPl}XPJs-=}#aac=`mB zKg&{3O310%*5(6DeIn4OJ{B&g^5ZNJ~0@HKal03bO%>m$fc-#<<*?G1ae=L?{=~75ck+Opu!@r1X zI6@L6J|K3NFHJ;CO?`WC&P)Cfr8Pgfo8hQ8S62@~-Z3TL-phyE)K_hd3bt7MfW8gPSk+Y7BU6z z_=c5xz~=WlUf$_XXn7(wh2+kd12+XtX=APmCv+yE{1Z zti?3v{&NmyNKrdD{H{fPJ)I+VZn3OG)_QLnYO(~Rb@g!2o2d(KmQ?P@!=pQjr9r@) za!C(Dq~*yXP-kVw)m}#_o8|uO76B{?^9UJ>CtwGSr5kxaQ}~+3o{ttEQ{aAHGFiU) zNt%op$#$R<*@QZh{QNX0cZYCdLjO~|J>>RisOWOE{E#B`nvt-Uro!#^ajxG`6T8Ne zst~A@?Gh+_wid*M#PhE0!kTHcSJQk0PBYWREaj=D+p{W*K$m#BqvfJ@IYV~Tc=#a9 z8816lFDjfj=+S^gsqwT$E5CamEkA8x%NdK4QRuC-8u(rYzTPC+!*=v9qE4ov0MZHX z*pGcDG+z{Xwqc;fgT!Cpp=qK{5s>C3Rs=ul-7T=B5qN~+J5fi~Hus>9 zXcIYZDYi1peHX@9Y>*P@#dLD-_|a^1VTHvLI>xmzWKKtz(-RA}3o}et@gAEi^5WNF zXiLnjmFTdXsno^$5lIEu|20$qQ!G|x*wS?ayo(1SVta<-^8xKIXJy*l-cv#{Z`0Ax z&9?!WOkxc+(^;LV-i1k~;5ivqEj)~}xYuarJDHBF>%3ZbVUfiZK$Fi$(|hwMW!lRf zJ$heHKTfN!rzZGN4ahERv6vkTU8{ASz8aDBhF%wTSG=G=mbjn*m7qst5`{(r!+0o~ zILZd&yo-#6vHlp8eAnVuKrBhSzLCjvJWA|>Zj*`i2CA1d047#E1BnXG5zYM}kJVAz zFpqVAaYGnqQ$|j!(^Hp4{M~AT1ijR%6omo=<%D!z;_>(Cdjcj!JT38fnh`)>s45OZ36y|^N;PR*Q;qk?GNS{yEr9jOsRE$mpd5cfVuNPp6JSZgsu@Ec7l_B0jK ziz#jPQyjI@EewvC2@PKci~M8_Yc8_C4oIehG^T%xVUjBuUWJmF&`^ONjpo;M3B)(yFH9A? z!#CCZ+JE&GGhsB_mw0$eG4H)T}$!QLGpM13%O{lNv92mzbwwt+25jgX0 z3+5n7{0BX4`-=LH@bInUzCkb!Sdqg|+w-==_AL%XSzvE8M%oU`N5qCAgU~=emId># zr|`CIi{x5-wjhj?+u72@CBO{3pjZ}DyOu@aMvqonk-9N55eYSwZMfnUhu>z(LAFQ zW`jhvriGWHFm0=M6eqegyedZX@dr|gqz{IyOU6N&jFsUF*cw?h$niCePP$L^ok5T) zA*}cUWk!X3AslHQ#dqQWra<_5_zug@z+VPZ1<=9p1@Ax41eK(#Pa*(J6#Os_$lRM0ZSd#~tG2+TF@&Y0EQLz6L!v#eQnSpSU07Z3T65-*M ztJ9UqE;>0b*U#>0A23L}C=^ER<)bBAOQJz1p)`^$D!;%DshdglbxyXTIAZS{UU^$p zqR1z{Fha5W>Wf*fMZPd<5w#zJD6etfE^SdLjYJ)qlZ?I-R~9k$8X3;40MfSjLUcpu zY|Ab$s(4HjdlObl3SG165JM=D^BL38Xn~=VstGesT|otA#;qvOH=X74RZqFw>bV0*Jd}v7kuyYHBv( z*{+B|qM8riVaE;+fo*JBa|95fVj$m;O4Xu908q;y2&a%PW8whbFIIPYp(a*$>LJdm zsOn~g$0b)ZKBo@01_Kaxl3Cwtd)Kc2T#g+=VCdq?;v~!Ei7kp|8u&MMI~F6IX+mkV zE>NmF*jgdg-2ee6EE%ybBtYqq2iJC)?(a60BD;PvT?AYlOf;0T3tz3A0T=G>23+`u z8%l9zowRyLX3i7`Mo>9i=3kCKG*Q6oFUh#Yu5UKMt$Dgbyl8!sdX{Cx7jNK|-RN zeCZ`$=mkR0y>V@Q_WJA?JH5rc`f-%_9|wAH@15M06zn}F@EQJ}vZeoBfOuJa4JN5D%iFI4Kn?9Bq>%5&Q8Y<<5K6lRpX-BH+KwTxRYgRl>;zr-mJ1ztUy7bEj}Rjvgc|3oCIl83)q z-oyVVmWQ(iJV)jA*|kkNo1-OnsMredqEExJtSk6Z+5n7UpIn1ST5MZo3GyNeHGwpS z6^f~rEJvaR4i>K<)PYAU*l>RDQ0m~(GGRmYsI!pa9*#5UyVc6v0t_9Y~O= zW~lA~ULf$Jkn5#$m%2tFvvmsG#ABr^MEIhh;ka(96GzpGX`G(xFFm;-Bx+v4kcwRc z_meLN`U?)jg{zMqH-KzNDkZK=dlBHVJ6V){;7%w3ZCdDvw@$iP-W0Skxa;5}RzSNM zx|E&*Vudy|+@#qWH4Bq~3VEkvO7EyxxLT>$CSApG80Di20+B^`7>{D45*iYS8uPTo zHH`@C@O{16s)PayAD2^Vg(3#UaXH8NS2e%i9tGzq`Dj8{R+5o;hidf_L{2qH ziqhC8Vi-{a%!y^4bWx9PgkITuc(70`L2&7Oq`WUgaYa!9epaCp3iq)kVk1g?(DXg5 z_)2onLNlx3YH#HG?IA(C;S zuu4z}e}TY^%_S6HhT^Qe#J-xK1+^S_Z1e!(h?AvTuH*K;kY$*HGJX0S7i;tKWnClqnyfStGg7~x0KtC zu#w~%4aG&Rfi*u647xQ%B{pQ%?uRpf9DM!nQ7m6{h%E)gBLU6Wx`oO&a+Dy2)enUZObvOk<1YpMY}x@LFyXPgRrLkVeK!nHx^PP3=wv zEhsoSG}V| z%MSfl8U<&I7l;b{SHwLUW+X+=tMkp&b1JMZ!)c5nr*gw5m7lIA6Si_2nTHk*E_ZE{$lC3#N2y5d|9!rM^792Z@ALNH|=UFDy44)u!kO)RHRKu(c;kEMuE7> zGkTh9OG>~sX-fjaaHS~)=O#``*UBi}$5mIQBuLDyzi9vUJ}$5-n2z2p@8iy@hS5gy zJ_2_%Ot2GAmh_ASb;`E3WjctXDacy{t`6>SSOxx6$`!~Sw=2IZGB{FwxP(#? z*65FfwF9XhR`@Gijo#kLDUS4dR`c5tJBFR|<<{>^V^x3bCVhr*Dh;C}efDw7R>LG( zg`2e+Cebrord2R|iJl=viH6ygD-$ozBtFw4>BaRBpsv?4fTg;oP%xRhM zpfDFr{g$vy!2f=ho2tyQ9B(z_$vmfSt&@zTKY?{YMoRmk?2CmL3c%r$?m;HZGtuD% z{AuQ5iXGWynQfn-=rNqvy)4ICQE@3)sV+9V`b(nTy<|uxCzkto$w$-aNkhWl1<TzgKM^jxE# z9W%A(aB@{gc2kB9JOyHdkO8P^X5?VVpk*amBFA)7qiX8Pw@cWL@g<-Eodw9JkrLyp zpNvvG-lWDYvgPP6$ufy+)d~jNI{hV?HE>L-VG@3j=0?LL3poH5fJqhU19eME_i!;_;A$cV_%?RffcVcsvLE41GZz5A>vIPg%*zQ40CjRbcmvCc+_ z0vIrwCH#zzAa+ERbic8pY2Th~zWH@3(Q;oxlXl zyo$LHjkisEZ{M^`j%VnkoM5OOs<_^R>UUjFIs$tTpF%81Z6^U*8~7q&tIXUq2dt`k==7Q{N;?o)a+ z*)Q3f=#{P40T`J9u8(f7DZcdT>k@Z)>KeL{hblS)*p{p`6u0I@$29fv__EM1bgg;J z+J!q{1yUM>x0v-8y-xS{l64x{n#B5g<{o)PKn7n_C()rQ5ng2TE+_yo<5L8qz|Kt@ zvSswZc!YrJ#33FUF7C`5c4lkjK>}kr(rs~CMY5v21FP|jZtXk`DiWxQtV%>2ky4_q zuSVsfc!BUIdj*dm*0??9_0j``8+QgqoPcu?8!l8-N;Yu4C`uT>98eukD%mTP{V0O7 z16y@>vQ6wK+r)mdP3$AxrlxZs?Wxa>4_}xzeM_|a=qmko`O17#j=oBvJ3+zfRePWmIdjb%TR!{m$>xJOG6zKVxO051vdBhV-v!e>@||z zSReN*l|CoCvHn3a3XABpBlQ*N1tS{o^s(g=h9i~CT zneq@a=3=m*BZuTRjnXrji22!yk9dL;AqHDvt9kFA9@rzOoaAUED9*OXzjDZL>`~Ed zjR*bwL}fD-vmQP49SnC(7!<|MQc{$jAoG}!zZDG z)}Aw3Bf}vCRA zZ*g+W2}qDZk7#F^jn}aQTuRknc4bg%ny5|eYjU~T#J(AvxftuK8L}&!+{x2?PDF<- ztxWOs5@8bbN!+$#FdLpHGsO_7`z%6C*Gr8hK4+E6&TQIr2vUoXDAV zKDzUtg?E&L7Z6)PkJfzM}^(CRxLvC9Iv#(vxy}sTE_fnTS=D(QEJ~9XEFWMa2 z$Eyt*M%T5Ed>{(uKy%pNO^m_h@UWk>wBOs8^Mum?b$k`7`7)6N~x^x~K zsEH|n3J%Y$7}WPm_g&XcNcq}DiALf9Msxl`iktFAiW0%HZRfo~5v&mk2CDfkh95?) zS$d=xDYJcOxY($l%tr|`_(st|CQIi+&XmLXs-VlR5LDDlr=!Ut6fiSm%EpWby=-!7 zqtEtZ8?V#S8v1#xO*132D-h}afE0h$pUe)0GS67fku6%V*-7U>iF`Q3|i z8df#WYAT^jF^m%?=mjRxJo_#KxkLzMq2UoY7qMb8*Buwr67N!qMBG_!*x00sno*<~Q;qjo z6cbRTf;zjQ=7Szc5)F%!6DnZ%_aiAB*?fhX2WMD0mHHgFhY}doNgX-7iiBzahvhOIBS;JpNy&|C4wFpi(koAb;lufRJS;a| z+J`a{aGM^&35!f$N*c#uObIZ(8X#zsXT$WchD4HxsCDcFssUB4L&y;l1H0#rRCmCP zjznRMnmS;$a$`HlS*Xp8(1c}rd{Q*dL7Uv(8XB)6WT9cu(Bqqm?S6i|p^76M$t2Kf z&bR#y=yVc+b;8>$*MAcBO}YH=+=w%&f?mOi8;ggCOpb2FJHLAngVIS<-dfs&yO{UH zjvp{h(7YC!2N*SZdiEhDZ=Zd5y-0-#PjWOQZ581E^hbOG4p$f=nqiarG z9(O<&W{d7Iv{1fDr4f`egLJ*A(}e*OjaWdxo)+%mSWTLq_lcDOMi;z&F!FIou|l8} z9%a)=Y5y`3E=1Sjz?R`NRMEc<=Or>DQOf*$WiV{CG7%!3HrL}&n$AqCF!YC2Jyxc# z7wiDi0)V|Er~HL`%?GZ%T!+Kzkv-zTaRG`F!7Jgy@QJho&P&eVUAL~$i&m1ED`bC^ zd3O`~YW;y>6_Vv9!1O+w5M-L*RdEl4C>mOZncUjeg-Il(auw(E)pTtrpHm+b(9mq` zboZ2Un>TT24HaPly2*QebBb?kGLhco7R5lYXc`4}QZ%F58NxzmjPNcjrbww{jOXx_ zB(rK4cf=zc|LT;j2d{GIg^ix8igw&C9k7xvY^cc0fIini!ib6>*#nvFUTs5xW&`kE z>%T}nd-+1k81AZQNYjFlc~DF>3;_z8vFz!p?eKQ53tMV4)}H1N4^TzpkT|pxLvEbI zu!@Ejf%`7aBG8*tVk`>!_SG%bcsviv@hG-oS5Xal6c|}a%WWG(u#0XvjIE^QXW{`d z#TQ-+O&VgsYq(NoB{f7m9;V6bIg6eR@(#4$B~A)@XDZ@{-D)1;w0Ni6t4*#L;e0et z5LAm}gu_{ip!zySILEtDs?jjH8rtx?mG;c^`1MesO>qr)5Kz_7gO8}8hQpP%5_fv%*=={8c(Aamz89NF_KXB(0q=wratL zD{Wc!U~tufze#p|J$|3PhWFuzRl49_=1XtZ4TyPB=1h zgn3m5oe?7pt2*e66k$@;L1%;r%c>4KBSTnMb@kC|7B=g74|pTWZ}_^ z1|Y=XG?Wf_Z%qLb^FFnfHnWHTX~eLZ#sb3p&g0%yV40=R2{bHS<6d&lNBCmX7whU{ zVZArLgk*r&!oL;lU~6IlEtHYN&rAomJ?bzEC`p}ISi9M|nDM@0fK1cG{%#3vT!*<&i}KCPy`2CIpN4Q4o+Z8>gT3)p>rHh3Nl9w4kT1Sjod^` zLFSqW5Dp63)Wir5zRskHtX0PCYH9tQY2)rj&|D+Q4Qd`k-PA}0S<9PoH*dw80L5l0 z;oth@EU9F+a$PCGa$E^--6pOyXij3mowrG13Noh_(fPvwPC6cpVd8dejNq_Zcv)f2 z*z0t$TKF&c!w*UqnpazRw_4!;6uO@x4EmFZb~FY#(#(($qY<@;a+*(y^^S$S|j(Kp5TX=pcUE|hu~onT4{imzdL0wg+I!_qJm1r)1PKOn>odeXO;)sSvZ8h2hETO9D_WN?lSZ{CD_WNWl4F=ehJ4{PhDmxkS<$-5iq=h5 zG!sA`QBGF0F5fhbVUm8|Pk2sNwC;YwbF!j!v3~uvvtSnV2kin67ye>AEYY7Q*R=1_mH%M7?=XuplS{_^cWDH-U`}z%$wu&;v?s%CCL9qp(N>vnBM(K7@q*ClR@@cVw5cE_W>*X}06?ioUo z_!e9SyBl#RuWY8k?&>41dG3A!nwntfEfB?fVg&YJ1PbpPI!w4UsBdR?}eaF zPA@f#wuyU*)!ySgI9@H`<)L#*F-;ub8&CDHvOp{@-Bi4AfN7#1WaH3i=9h>_Mw3`F zz*LMUn}Qy;0E0k1b4>LNrpo;Of|J?hPmL%;nQv%@0y%KGasHr5oH-$D^(Ne5Rf|ok z`>L6MdE?pWqGte!pE|uzFaCz^9&A*(uc zLKag`hGR~Qqz(|az))ufTVT+UhBzB&Rsw#xx2{n}Ce0&?ll$PVWjPKV68ht`QJw;K z!&&fNBc0c|X#x7*Ys7-4xRC33P$M~BlNMRLTyw?3kw(3OY?lY)3S&IrzHaPC8lxE5 z2tkNdPxRm{B&MgdA{3~KMYMS|`{w8^M&RqbkuOVtR`f)a*=^_Lw&Zf16DW#ZrPy9) z#?V_Nh%?sff}X4Zzs8f214Xn=G2+K?0o%Nce!eQ^_qa1q%x{oW1AVd(JEP_>7BBP2 znGpoOC3fV93E0Bi$~4i}?K&$M0NX0QaNsZnf%IdAO~Uop63oi6c z$ORXQy6El;U^$EjrmEUH?eUW_o)(?jceKZTFFpT4`qX%Ib~*Q{q|;4K|GUWP7c;vP!5q&1FmeAK2+Db ze$451WgT*8ri<)M*vl3NY{R{h_?ah;P&X26$8~c+yfz8yaQ4Iiu44tj5mJ{gl5zw= zEk{V2?C^hJdc>t^zT{|d+Xa7V$|%_`h3Q;|c@P%@xx;;tuy&LRzCOCz<8F2#z)tY4 zfM@MQwOpx>9Cl2&0)wU`_j-8Rx6J?02!-`s7~wytH@O5(HnMI{q@uMY?7)$!PKTiBvb3TvbW6 zKJk#|)6Vh9c);Gk6={^3sru4o=24su-3gSgW5^9NN~HBt6#W;LZ2yw^1?4Hwtx6QD&-BC~ zQy`ZakRKZ=P6IuaqpGs(DF{y_K&X~^2;7+^7QJDr*G8TnRmb?XRek4aAk&dNZ_sx& zuwDPEC7L@-earPM&@s8FF=gipg-+%}(lQ!Nss(;9DXo=Dk3u1CbMr*q{6Wgj@M@Y6 z%ajrmG=jF>dPWoDnD0f=QZh74??6l1e%BC(}4GzxyEXjANi6&XRh>_!K zLR<%R8}HohLOl>=hwtCdi}#qAeVH~BG=#ad?J7bL9bf3@ij+Yx(CpKCYnN0tiiTw7 z(E|+6=Edma)$$(8hgx-quU69~r4pOZkxGy+q;sV}L_(uEA6%?s<`9b(Nd=K;@o#$r z-8BYLXmNQ@{oYr<`|9^W{2S+55j~u0#lIDQIMIsB6@S>H#N~=VoMgr2ia+dVqH@Hd z#lIDQh(n9Z6@Q39i^~;%h(C+V6@Sk-03sqdMY;JPSqeW#@=sEEm z^6OuoG}PUimxH)gq^-73$=PavQqAwrN7E73T6Q$>Yb#WW9fl|~@1@aqcv_+o=Ho!nPyj7Qe^fd^)rW*4F-UgwkZ4yPR>z`@(Ii(%pF z=B#=28Fimcai0gbOo)n77=(y(LL7oT`hXjl1e0?}nG7Bg7&2yao=&cuZaUTxI0y|xUP5OV z=BkbP?Av|*ajSNFFtpqE_<6Nv*BT$T$Fij`b+3FJKIB7J)>vKL){Wwd&=TUQG-;r@ z<@S}PwG;*(^epi5kbUx@Q5dVSc|gHPvA}}l-XfkgOA#-_iRK|1>$oB@V#U(ax=$^^$jKG4$sPJjRC4aot=NM68DfKad&0y?_5GX41HPLzX_ zKV0fhXD^5P)79Ba^C>9r>8ryd^UZTPcy;mm<+1)aeD(UJg$%P}lrqR*$SJ&w2r7Q& zg!=*-SS(%q?A*J)*xW!)sMarhYhD#!&&wuIufT)D8QfUx=gd%yd5IUqE*z0@dAuNY z5riL?#|vT?0r*il!tW1!3y^jR4p@>%%2sxciAn8-@q9X2nV0J5$pn6bhJ_hEr-F=W z^~{TYjOE|#P@GYUBy<5*H;lwz`&KZxe=0|`B!Ul2g|}f+ru?uUTw+8XjqD8NwVgk| z^xwOl2?jSa?1ll1Q;B|r_VQ>;JPdrr->rRzGJHNHw%uobG>AodQgN2oyg+fa+8Gjn zYtVha*bYvrNsZo!-bWT_GmoTw67~~=Q_2>GRIu>ozA}T;Zx3XdDGHoY=h`_qL*B2> z1A@D<6J!QZ)KyL z56ak}+Z2I)Sa#QrD}hR#M6*#0NE=U8hXag88?0V_tkzC=cuvNzK4~2r)VUM`mziy( zg=e4nnHgX6ize`_@e#LVKbpEn*KZnx6{ZYg^vzLI)w6e+(>G1vfm5-`56VjQS+z(7 z91J|N^p;K_epGk394ZrwZD21v|bVjC3YdJKY5{_3hEH zx*XAi_oN*g1{XaJ{a=0S-X38`_AqQY@4sbN{zLKqF;ow>@ocx_e1l35>%o-3v_%2> z)Z}&8ZjrmdU%^?2O&fhb`N{x?JrX{?;JIZj3@&_R|L&p~TSFymm1Nm&rfOslHIcq+ zp%Osi?-n6!@=?vD6VtkzN*GyBuS+NSy9k)JiF%?U<=+q1>lCk`yX5 zT_KQmu^b`qnxP)46a4Rf!E{*g0QvJGLJ^Uk{6wl0k%Z;wlREYsk>IV!RmbrybtD2R z+|sPX2GWPK7(a5hm%`$6_)yeHUO2tcfJKKA z#iDku!Yl8M&favYFl3u1O^nZh(+=18)3TUc3tl$X`wxx+(g`9S%cL=@>&z+)Z3E8I ztN7Gl<}a^VURuBLq_bU;B6#f@WcwyT_~STU$6%?I9sgTpoK) z>Ak+FTS zzypPs<4q~`=o&}oZZhGb!}o}^(xhw7TB;~P>G(Yk0_-J(> znV;kG_{?k{>3!nzZm$sRgTSBuC&-P;O!V9D(!N2fk`7HN%@a&UF z9eF8ojKImAF>4;iPcXTd2pBaT5yWjCrl36y!cBQ%vG2-rXGEoV@&l>KEllWtUgTvF z=iT<`!4G9IBjFJz$)zVlwt7nb2no3RcT?0TK8andN(d_sH?m4z7qc4!D{HL~3E+DC}A zUUM)VHnCJ5yo>>FhTVB2PZg4~k2~Dmlq&m4o5;fd`RfENcyrPRf5&1;aLfyDY>My> z7d+jJX3d@}3(^$vsUF#yL1d_#|tE*+>q8b)5$2Dt49X;xxPQt^RW*kW0 z+s?kJ~EvdIbx*NxXd10x&#WZj8n%f+c9=GV8d7O)rxL5HYkD6eF=V8 zC;n}yi;f8+OH${v^)SW-yppvK`-}s2 z)9I2%*aTl9QI(r4T@CiIGIeXe)4*bm)O~`PCK@ToXBJceD^!pY>AnWGZ(*_3K~nR} zqj@mFf7fg0KZnKu%dU?()z5ZU@b6NcZh74rJuI?>UcbnOrqdiA7EzCtUql5Ogd2vs zLvw>C$FE#O9lYo~w}^%+RA%P`v8X0^Z^f_81^K^pmj}R_yd|yK~V+jPqCr1gl zOkC&Ewyzvb5Yd7YUR+=zU7oGsz57W9#E&V0zy>JH?179Y;DdM(GlLX{X$?R2H8=oG zKNxck!cz~TFij2Ii|F8iDf494A(p`w5j|;-kmg8I!S10F87Ulgqf{cQ2pe52cSOI0 z?HTBhhp;knOe|;bLZq=rb9po+ybJx{$}wnT5V0R{FbsfOAS|wTK?TG-)N|5zIF7DI zE30|szHmuY0Ud%H_Fs>IjO1dQUihuy|Ju7B+7=r@70Tp8A7}Q63Bni;O%E*xJh&5BaqDeQvYHAOT zD5gn4odlUnz$VsPhE45?FrqR%h1Hs|X?ZYm&)HJCGg^O;-NuqPu}yon=E^l*5g(9Km308iF=89uv*U6?1Rc=L3bzbEjEa z4wTt43vJaaf&Wshx|X)rumrdg9zF}~lx%HYa%FSJ7Wtd9)H1oG*>b0KYvHW6MNZ8! zLw&=!QrHMtQb7yVz{<|D33^b&T69`{%p{I1Gh*_v{bXb8H2MP-vvsLSdqqsTX*5V!>ciH2?#luE>H+-_!dM{}Y!^>H z=blK`f9!ZP=*yF>L0=5F2i>0PXWLW#te$GPsR9YSEbKvrQVnsT4|@Avy6a*!a2F$*a6*9cc} zIV`xRa;8G+bp` zPC(Nc3&*&X#^-A@!6{&TwZP%f1;8s09am^3J4NHRy3W$T zcM`ASV_{~LxUUazU&_N|7#TaEL~O{}{q0Mnsbw7FqA2Y7 zVY$(L9aGObG6N~!jrpEiZsGFwo8f`88RXeW7-FuJ@{=1G$!+Ta-U^C;cY_t_P{ZyJ z2g%|-rz?+rP6SiVN)dIEg)u~y1Q{k2r8+9&_WN2o|FY2`pTP!5CxI1r+q zQI{qUaB0$e&fwU(eoldSExZ;rCu5CN{2c4>|m;F-%mvWd!!gB=0fm6147V}kA8SPMM9NrFiu?!6tR61=8A{zu2wM}=V^K%nY#zV zxBlm!MM6go>U%sdYz7<`9AbVHmcCmRd7Zor z5*D_UMS@o&ixe)Bh2QcGb=spwK7Qmxhy)eINlSS^E!UnbCR4y1smo9N8+Yfi0 z;HQp~C$GwRF98>!G(ueV$6(dEeHgcjE{N_Y4w3cu-w zC&f)4pdmx#0gVCrg3B^o;0INdgNKWJo1b6Ki#6@2f*<4@R~OtKEB7m4g5_eji{OB6{tv zDY>M7&Tt|I_a1-mX$)1s>~Zo&pWfPFZ~+1oke&Qwjf z04H))xA(O5Qjr-}zc2XO7an84|2>$VYy;NA=;vbDVoi;+M7HN23|pbz1_{eyh0N4%nDi5%6zn*?5ld4B7-5OUv{9 zcl8M6lx#8&`RiK9&*l|f;l3{Jwu3*rMOM%R-fMyCseNUQP;l8)kT*WgWZMVmp2cgD4Yd|$hV5(?q3}yOIDa#Mss{?R$|R@27kT&!4T?0S#Ac zG&pFg4i2GNm`>p;!5zmbEl6$>^GhWVe0qc*OMaM|$+>8rQd^Z(G#B*_;0G5CU<6us zCdkD^--&ff?Wtkt7|BV@O`)@P*G>LIDn~l|odof`n7=RHlr}f0Gn#x;u%zm$Xp`;c zv!5oVudu}{C)ef@vEerAWNy(Hxb=T?A0`BXD{=qwZxV9w$4F91CW}8m)$f~I8h=JQSbNT?yf1iMf+)bRyffCOvDd zvD|kP?zfn1pC(Ad)qHw|bpn2x#l;QBkkO%~mJHFBvvC$8nunj{Xv71eZaf(K#B@M9 zw9rYxfZk;rnvhjIk~rG} zrZp)H2t_a@-ssicL@oY+$q89`h>TkTyons<^e5~5R390NJl?tMK@-pV8NYrOvCIp{ z`n8Ce3V}14Uvn2?S;!ub>?`IV+#@M5<0~4O`8!-wp?en!9@~V{JTSh-xuGuP9G5ehzzxq5IE${#zyE<^YEn!g7jTQ@29TISAlvpL$X-!wAH5fQH z)2Fvmh_f|LCp0AVHv^efVw~t`Y+nx*m@2YE^VR6Otf(^xrb6Z`O~}Z|bQKFx8)g-9 z)o7&SY9m@sgbQnQUoDY|#|8~$B)zax`X(?tuS>9024@R0nI%kQCG!XXOxS%%(6oQg z7Gc(ukdc*aiMm-@!sVK4XX;I07gL@hBv__9If1vaacAuVKOQcN`GNupU^V|P=}rU- zmNzA`j@ndyStS$}IG*5}GjPTXgIZ(wlftl|Oms+R@9g&dguFFF^ZukaXK;yDE0-^8 zP)cdNb@4<>I!(QvUBhyxp0HyzomAZlO-+?*H+4%{hEBJ;=!g5S8FaR_Syf@6FAKk!Tz@VJ0NqIe2(i^JkV~TcZh}sKHIA zQeZu+;KjUk+~y2T01Yd6zMY8DC0F$+f!ZEDO4zl1MKFjq4Qb07dMusUtXlYrD1KMV z1xTIrjxnM=3Z*W{W7-1^LT=jQU8*E>Yi_)|q+F#Ku7`0K&r?@lxE}ub6PhC<|MfO5P-L^KW)UKBT}N27ZXxnsHqyRm~~KrQGM(DkqT| zd)}23T7@ub$v_Mtx?Q;zk^YV{*g8@IFwu{AgG-Z1GUG>X@P`=+kzMPEXBLXzM$LpQ zh=~MltM$#DQd%PHCpEG>_LVpo+s`-{<~cDDPHJ~lVi*T1aK%y;0^F8~y|{yZjnmM2 z_%O9Qc(QFo2U5ViQwaxxP75?<0v*@(I)~$N0iWv#yDOgt7fJ3A z#Lf5h#O&U~lZ(M4?Z`NHH_;*vNg@~=ggm2rwP82pFwjK~IPj05i0Tsrp{VQzL1KK7OKPLwiXV!b?Bo|SG!VnM8();1OnE}BI$$#2p1bNF^V)#P| zzX=JY#})r=)K8ys`t4$XCtZoH1-cbtH7bCiYZMqInaF{;NruxNQGACDmnDvbFDj6J zy(q-=?c)OsytkYJJpVhF6M^Oy^yM!`rIA?O|aW%sx8Mba#!YQR4unygNT!5 zj>2kDRn7&g6z<6yq@vQ8jb6-iFXjrnE{O}nKl&Nh+mCQ5oKpZ(H0L+1_<8iv0)zlL z(;;pbLnCAXU7^sV-CciNc!De~)GhWyaCNz-n>{3sA{YFCxO?q5l$;`vgC8JXzz<#c zz^NF3aZ{?7#gv18vn7n8@+}I5J-}WIU#spi%4i##xSg zJdDOXx`4kx@u-^MW#u0X{1+w90jwVm2HUHHfLUEvk2;J?Nj{96uQPsVIz}}*kYNa&Z19Anh7Q-{sLDu6L8PKQGj^ug zrs4Gcn?aN;pYTSwt82jD5f>XifVTlg3(Gf*iy=NElrVs??u1Z6Re-3x@P)bt=k~a= z5u);PrHE|}pHZK~Nd@l<5h@r^m?ekgLK3sZIsJiBn?CuG(a=u7G>aHam1VKW=#VIe zBZ1X>Un5`4DVEK6&A%mhHn<_=QRCrS2@{k(F|Y98`eFfo-WLt!CKOia07o}c9`8_z z{VP1Po)p2=oP7KLczd%hxsn_`Fy7}uJ*8>&zNs>MQEw3*d$(F0jD^Wi(MdMxOiDG9 zQqBlZ(mR83z1%%K$(o~=d7OtibLJ1tUz-nrEr1#9UMH(sUFUQ%`~onT84LykV6e03 zLK@!caXhWju`^$NSgcc#dl%x#jC=&N7n4KQ1;J$x$)DLE0#HM+4IGJ3B-jN>MCNca z)DYykA=4O^$7~wy)8q`0Ut!kPh_9v_TqEj5J8;lqdkFR{-geXF?c;8VL|Ph$hZT7% z;NfgF=HLJchd88q6mkHwSUiQS5UXJ zTlPPlIjc#1M)Fzis`{OuJWf;~mN9c#TJ#67(tUyQE3zUl5mCu&`r zK57w~(68QhLceQ3(mA-zQ%E0d&hnSj@)ua11q_VO@|Q}kVS<)02h}f!)h~2YBMmI^ z40E*nrQ#V$gMW~*UQF+1>opaCjP9sSN#eNb!0|p@l&N91o+jPPZ_a7C@2RO4yXvnh zP&yAu<@y@>Z!@%j(WOk7XRYH6vau5<(9jHvBg%usvE;<)ohLbUGhv24T;F25qn7*{ zl)Jeph8$oGiBMV<#KwZ9WQJUskA=N-_Oy!`HecuFOCTyO5W)VY4JON$FWbN>2+0hE z#NZZK`O6W4myvsNrv}q=%VFNjxB(HR{`v=clu_b9i?!R50t|J*G~T_@W-3{8*$YM| z-P9p5GF1}p@0Z`qR4E}4OiBAE&KkK36$XZo>~lg{?wwdh_+X=kOxl1^kT5>FD1Tit z4V1KS>SgwaB{+D}d($74-^naV0ZZ)XE&*lyRNo7`rW#~0KnE5Y6w2VVqeA}w_|;p@ z*o6uNkc$u4QN@)91Z{yc`{?xdQ)Tr3p=KL-ImE4-^1WN3|(JN2yfQz*;HtDLIDqEYYXIm7rA< zA%M{&)_dyofp5GH$_Bu9f`=I7v5p9OqC*2|5m1VV!62bZU+X2J#UjwkD}YL8R>bmW zED*gQ2_21*y+bonaL#6s!+8=iyB-3u^i`_^eB!T&tWtxZo+nK153LxBW ztT|SM2ORlW@6}pGTY7=&1uiGDEtZ--ubZY;F(z!C_O&cD;q=#Y78;5U5WEPlRZy5Z zGY1Oead-2uYBX&;7y;+%4o(26G*2BeTDJx%`eM{(fX1a9Bpte4M;><;ya;~Rb+?2= z%X&Vqroe2X$$zGoY9zFTJ<@7eTlN87)?r~?YZVdTC_E*}t{5GyPviGw$~(wo)Cfz+ zjugL`^A?jtNpGQn{)_JJ(>rZ;Eb-Qp#p=5zH2F8)GZKF>`lSs zeC`r)rjLkReWYYkniiZh&wbf}0KG26)fWCUvrBZ~TnISMEW~Cd)vLZ>oJ7NN= zNn3NOQyHRCtq3GkT#)!;Lx}QaZJ69}He%?diHZsy@kN@@O*n&w?082V$lJi0n4=>x zFc|_i)0?`zm$P3W32AoA;S(BlUX18w%AI2u4~s`ttNShw>VnSVGr zk9JFE=@AO_mN2EW^k}zqmLBbv&eEgZ(ph@6TRM0twXJ9i*=^-7BW)L*e?kBbS6N=& zOz-0^N_LSRi|i8*d&arc#e3k*CIA1brK+Duswlas`ngy26A$GUSfi?+qpF`M*im9t zbAzmo5~kvLRPl`5h!X2WYb+?0%jM=5=Ib=*ol~x$(Jypk+AMl)*y*BSQ=nWK= zJ&67#WYumTFYWD`-lgK$(bk;D7h6yR2(BSqa+BSlGy9^VRLnwWlzD{XqT)TBD}?Z{ zTKyZT7dMv7lFw9O?RdpPl^c$D5Ti5vB)`^ zt2L2A(5&FbXzow^V6q|cN|2$-?MG^CmgA=VVkkSs0N^ zY0SY%Ss40go3I`h3)IwFIe6G*V*NlGRTeK?{PJcba!gjwR(UF^`QbbjPtFG2(XSm5 zkA=`_K5|}**Gq4wS&!h1(8o>PZ~XrI>tcSgWE0GN8Wnu4{0v#*ce< zUAHIH*qeStss!lB^cE&f4_+^)?%b6=K3C!hC`lX@yZ0E!t>YkZFk)Ph0~sxH_B59U zouQJb%yG%qZ>>7cwb)X$^l3IVNY$G$%d%ltr&+frsQ>?qhnW&NZA2x)L))J#I*jy1 zLdTc`QfJKe#AsZ2jwKWfVr_jVv|)=_d#DpycqKz?M0-X&J}6I($9Ql@O>cfV;sVZB z1XO~fyChQ{HIJ*~`f+t!Kdz4J$2hL*;Fiu+Mg(kz%iK47UbOau1;eXZ66>|+Ei43Q*+gk&t6?juAe_M$AXCU9{&Ci1*u$nCV507 zH15lrRIC7hN%s#VT1R!v@_fhdp`6GTCYH8C0yc?j>a_KX1PE9m%6M`}06;9d+D6MH zv?{5Wj&E9NyyfQc!6#q`ExSppj`cUHzcBYCv`O-OYR4KMCqXskkVKprxdn zZi9QxsjCDsPI?>9e4Ee;uHAa1)V8WJw6ser(@Q(6J5UhqXL$Q0#^@ZDNIrrF7q*pV zi31>`s0r!Vf8d3NnB@G-xXU0bo*wl8ltF);2SLy%Xt=S$hzIAp|Xqhpt`Km&f`hi^tbbubx~zy1aU6VugxO-zZyiHXxN&@jupGN+3~Lj8s`-AtORY-*rqb z3N{f)dSvb`Y_f!n9>M13h$(>?MM4Fps-+DmrAR>O?|qnjDT(A_w3i7fC8Ny;)gMoH z)JyWhZCK&P{NItXKZ?)B$DSuGDI}Yf5denlacA3Rls@sH8dQ0RLPL&)tIzxv%1*JX z;R8K2e^d&sYF6y=K5o4QGHk``6z#yfnhxG2bR96gS5S%vzstwM4dhZux?^L)XR(#E6zqXR zHKO-5ZFw;WPnw3%^2QKxBk7elz4bAc-BA{!y|jDC3xPt-C@{uzmQ*Qcbafz)Ei_y; zYy^;o&75Oo-tk=tHtD~v9$NsVv8A#*c^NXJTP#BDg)l?eK7Usz!>d+B83Kz4%%C(W zb;}FN=&l3=&Qn~a!m)L@O6NA^MrP3*bDOcpvlASymGEKpz}+8Gs+JgP;&xLU=}xNH z_(>HTKdJ7eo>Z~%lPWfTQpLtks@V8R6&pXPV&f+`$0}2im-CA$E|4zoMS)Vcs+|#` zA$72=%g!iW<5iyd%`A#x4EYwwPt?zs=d0)Ucb|&!JUA|+{Reo{&d$>z9#SfPsZyT~ zxi(8$4@g2gtmftLw8~kiZsQ)|$Zp#@J}CJ)u4ePNp3N!+?xgC)NtNVv0tb+~=OrQ9 zu=OHqEEZFt2q)tEQu6pQrNEwx0k8O(b<5>=!~}^#>)x-$(X4Fy-@4gz#SY zO#i_ZZ*J@${00kfj@oePbu9%dG~zpL;lpH(LU(+ls`!AnTvy!^X{ZIM7x_c13?rmo zPz~;DdWGHoK1sjFnl_>7S2y%t@A-7eOS$~-x>a~dqU_NHeUdUQr6kiAegs-R z+o9um`0l$Sf9P-@9h(Hp(r%csSoBJW70a3G1j>hh9cMVqJ7(exu3RQ{5=c?!H#~7# zyz+WMdEM?q2CCOf0f5R~finGvgfjdoJY3=ik9;T=to@A5AfSaAt=bL0hk2iLsCg7H zu(oHoRhnuZnV(A?5^7b-coi(k!>kZCcbKnHqFO%Glk+2KH2GLaVyHt*Pxr* zn}7z9tPsIX>lRO4;W-hmHk50b1U&T(os%EQROHKPDM_EA`0@>YBzmvGe#T?mao(s} z21?QH$`pSd~MRtmw0$g{hWsH;qA@cbhX;QM7>g? zi<3pv^(f~UzFpqElWz!q{$6MY<||do1rTq+^qroq6DG#fA7)bGB0haf-=z?TS3nho zIN~_dNx4SFIPM49@%Z>8aNJ8Za(gMyu0z2@G<5V20!7pSU(xfB^Y3w|A0XV=UM#YbGN|9TrpdX**2;fgEb3Ljp=3+_`2Bzw@Q;4=M5@Hmx( zZJF#h+2IjO5oj*kC;%NFdt~IXh?6v)hl7_BPhg-!{qOaguxJvJdEpcD6)u zs`(gnd+avb6179MW8)4><7TzOu~h@dCwNalhOlPkVtRK&D*?};$I9;Q#!-tt4vBi^ z$~Jytl?0x6`*-cegAIpE5Y&sV>w$%=NaN|gSX@$dr^q?74XZ~x|JdYB8VlPFafdo5 zxpeZIv3&0tS*RF!r8k%9IBK`nTgM@;m%68DL{>x!2z4lI)S7I94t5#!h& zo(!Ka^!q1N+M)-+(TlzCkdMq4&o&->>Y)$#C*AUw(mx!yd0vQSq*Ip%?mf+c=igR~h@By^aDAW*EpRGoXC` zzQ)*Il@`-Y&0+bgV>}J`DEV_>3#)HP3#^!ZGk$jQWWJ{CNSQ0=QHPTn?PAPlsG-qG z%2#`#2FB+fVrv>_`w_|}J9{a_(FKc7E9_W0-+5r5^?K>K#}(eG5JwV~C#T_CjE?XF zE;i?P@-o0q5;@&7dA%Y-4U-vrtbF;qKHB_%6Ch2tW)d;} zLOz*^f9$l5x3$;=q(P$Cbj=@L5vO*#P(nKTs&pF^e2$4_PaP6pF7)1jQ8H3;d+2l| z`hZgG<;tK@fG-lIfQFt>&qu>N)I{|9-95YIQ1)IPVGlBuldVG2#nR&WrB765CdazB zr}2vG@#co9H18<6uECU+P**fvT@Fm)T}Go-?jA%^q}cD7OS zWerwaLir4z+oX7c+N0{^+I*l?NOX;p9566*{1csqCDuwvpK3O4HC2yoEFKCT(At6V z6g#hi5Z+?B?4Hb}qGERZ>cxapF9IL39=(C5J<=FV8x(zuZRZ~U>RahgeX5V|I(WOK z5;9$?tDDAdu+>YDp5jb9STyWtAgG9d-wY3gN&xw@KDfLB>9Kd0pgHh+!~y^dYZ`=N zVjm83xZ;KZV9#gfizi_(54pf}*U(W7BnOgV7Vb5iY$|Tz4Kn{ZW z^T^%Ih8__!B=RXvD-+e`Dd&;XQ;I?M$ar~?)EjWvD+5IK_9zP&i-(S!u6+gN zDF*5I5)Zx1?>N$w!yQ^(12h6%Al?;Hq&$74AQ1qeUe5IbINBY#T8YpkorF+)8x1h} zUPkhZDJjOp&_wg#>cXe=V+slCj$N-vl%!2>XGaJGsCtV`$5z`ckxA097o#xgS68=( zT80=|dP+)|XlykSdn2&;5*rL1d4LL+S>;#U?v!<*JBSexA4_b)!Aouf+a(7hJk*#% zV!9Mbdh7a|>Wd?b>5*ngx@3T z-GYE;?}v`RPqhZAu$enVGU(izXiQHK(ohnJzrJ71?r*W3;ECIK_rvw=d(`;};iw%= z$n5A~7il#$-`u^B!$4IZYY3!5kc%q8cwUYdP`_d8^~Ml-k2WhUkKUHXRAdxsbdYi3 zjdaL9RjPuDm(_f~f#nytGFmA7zLUZhN+G-%6Q5U0Tu(m#;hki-V4>?MbpDuw3Wh=k zpiZ>0y@m2-%$aa>rYA;(fO+rxSr?*(%630Onvkbc$!VS4OH2E3c>J{U$Q`?97f&UY ze%bvP_&CtR!0zzyL+R3_xj1_>R(`9p?br#=E_j3O?E~)N@+P_5JJqd*FQxY~G)SpT zB>d?a-sK3ENDj!D?~M@)yAW%`g6sIHG4J&G71x_}<~@IGw5!))(Qf?Y+989nE6*y# z5GTh;Ar*0Eyc1oJ&a3;|R~XfB6Jj}1p^yr~)#n#uWaihH2ipeKc0T1+;{1l zS$gJs72y$mA=3f_npJ!7?kq<}?If7->YcliAX{p;Dppcbp59sJ0t#!o1@3{PFM&Is z-gWOnxC6IY^tjv+TL{zLGEru4m{)Ls%eU_N+elDXH+?9Mpj7rq(RD$mC7E zzSf}YWL0dvFolmEqP+jQu(Hajyz74|Uoe$`Q z1-I<<{0`?RqWG~(>Cal+4IDp9$iT?H_AFvRtJ|>bLCHAP<;7NmC5gbE*B}|IxgTja zY$XqRDx0$EA3xmPeNtf+#P-5bDR?|^jVS!URy5u1k!koH^ZUhgb%XG(d`fXOMtQVc z(&3m6EMZzKNwRAM?{^K!7t7gZ4k40ng-TDiVFsfA<497@U@a-!#hQn z9_?Z#6gf#i8KzjCp%V!mrT=+@YwQxQ=IdEBqFj!R`yBj_f;XMt;(<${*jrQkWeU@1 zPunOdy{RQZ~If_c*|f56+z)0iTdFW+b`V7q*nE<>7% z-bqowF6{tFSEL(g1B*JTo&=tfXi^u$Ck&n*o;0}mvl@UuiQ|*M!b$PNx?7|nOdhZb zB+pUuT~#oemE?b~pcF@Gx-(E1cv=)xl_AV%^<71~;-#fY1|lq>kZeKwQK36s&JHcs z&dL#&sbm;Ms~j3JW}Z%AvC+{g>>oO>o5TUCIx-BE7kZrOn>1#E7hT+ok~~X+VcHFF zDGTQ7gKTj6-QD~pTV??-sQqnFOX(8hMsA9SO|Bg3;36|H<%A)~Ji}w#d=p)!G#T%K zM-)f6HBwVHgG+VDR#zn8T6Kix`h(OGSJr=??hq`v9$yg?`FdRxI@X!Ged?d^h~tlilf&?VTM8RCG7EW++Z}jjQft*SP9V?BVnTwLBE56I_QX zmprX3+1m21?MMr4?T@gv4{Tf!EboEN#uR~agAP*>C~dgqjseCajM^uYB^V|-sJq7~ z7s+-n&2?!Dr@K7?IA}0jh}ZXoNH-{Ik@@0a(57`(?9hsqI5dd0j}P-U1wE6fsghz@ z>iYVS!IWgy;v}mn&Ak3D`;!jJrDMJU6LURmRk56^b8A@l1MhyNE0mhpWhW^J$QgwM zjJuVrI&}?IL%Xn>Y)!hbxbLk=t3(eC9`5>E$h7xpNL*T+zk9!)bXoY5{i5MsuVxAm z`tdd#+BezD-iBwj_W|j&BraQFU?oW97{N$xFx|$*``OJ8@FWbJv(l+obZtih<%AVCCV_}mq8 zj^ZfqCLOd)oENt(@HCmPqu(U$N4hNx7O_oj89RY~%-K-|M$B0xEL@amF6Qw(OMo-*gEN2t_HeZA$Enp3-Pl&Ldntbt8ZG6lsdK@B%&iFWbzT) z*2&r`LESMJbbz`G7?%rf5bX+Hk>O5S_+UM_LMub72yb#2O?Q!M2aS=mkT;H=VijF> zXlNR*@85qOm9&#QRD zAa(Lx7F-#D+Cvm17F|}ZBh3NS5rvIt>C$j_`Eq3eMGz@5v397t`Wa4M4lPl^A)?;k z;S$+>h*e}LmstF~{1{c63&vi@Pb@9eBr4pvLrRKW1xst$bhku|RvamJ9`v?~nj@~V z5toZ{`EPQ`3_a%YZURldEL<7Flw+xZ5tUmqYg{Ui0Ou){I9Jbe`9X^Ef;yEjH+as; zS#1Qv^Q82o?*Mlq*6xmxTD|(Xpm&+2f9@7ek<~cz^m2v6pQPkvAUzi+=HPc?&%h`4 z419t!uq!g`A%oV7O_b*ue;Tn0;n$#&J~WZ>`M-wM3t&l9$eaBpXW*L&uOVR;{0DDf zP)A@f#gp~27FjkZIW(Co)7AV&zU4TgZYqRQGkOa<-L=!$BJRV;db$v;PS<=itv3jm z(f&Jmk0UXQOTBJg(}6bbSD*0W4=hFOnMCJ-GiX|tJa5ts48`dw+yK8F#=I_p8pCNY zb!D^WGH4>@(S^?U&tbOl1#eD>OaVW+n!c8F@T-sZ_w)6Au+P))B$r~D@Z4$}|6t$v zHV*cUZ{y&=xGN404h$~JnW`=yAY7pTw2SB9)Q~!~ZjwXmCONcjlEZ!DQ#l$LQb%}O zMYVf$Y`So~XMm6QOc##vsI|hSM_JULCwT1J{An6GIWGP@+WASCaV46GfcQV)?%`5} z$FiC!-bGgemVw36+CeY`L*}ZU9bDh7f>JI0R5bs!b(jmL@ zK9efFx}C43LT9Y@N!_`!z% z<4alrpQ<6u#GN6`A2H*JA5N^AQ4Q^fh5UF{ub~>Ko*{sEn)S zGO|}KP=#Cbl~=Ep9QrlVixQk#%OA1Fq+i2gj#$;IG2d%Ga6ZRw!_R!g>adBle8bLC zuf_1`VZElxw*M-zLq#=5N97O4I7K9?TEPtYN&lpYmRzNO*8mAtDed&M!@8mUzii+J z&$Ad^@uE$$A#woR-;#Hs+0ap=p&`7#Z{cl|tbf)-CU1ev2Xkywvh&BCe`r#8b`ku` zLcqWB@HY)~s&UGBiJ6DR2KD1xyN#+FKuHn4B73yMKkn{O z4Mao!X#)Ns{()r{MbjcE;W?Dk$L* zWWU4;#gRXy5>5!A#}gi&DWO<%8#j0pFXycLT+fEm%xc=yIByUs_E@{@l(og$gl8SIpRHKts%m$LU_d>glNbVZZ#L?A>YE zJLE?ctsIv>P)2(m?QFhozqe+y7NVS_QYlIWz#FSHM^j&@a`GQ&iOSrVf%`+3VQNKa z`c1R)MSb>^9PPIRupD=8Qi@dvq(QYMtGp>^VRZX09R?@wT% zk2tMGFcY~P+AdTVff)yjA|0L_0Ynd00i{gi3`5waiRX`NW_i$7!-^21Cb~q~efQRjusfQ9C45E@3nKyEK zr)gO70W#Ow!3d(Z&Pf4-gQO~mv$y;8&N8(fTa||h{^gpS@BHYr2?-+s{}*f)k-Y!m zH%w80fAPj~xcaNqJYNfwYoe`&cP>2W#~*mZ^@a)WZ6CyF^0!lZfeBA-=dD{c*)!G{ zq(`aU>-)vSI$h-R+FbA9P#+q7bibO5S&M9=D0fUE#EpFd7?*JZ#|i$!0%pZB8u>Ij zUK1{@(Hj5jiZu$)xm~pIo&RoX5DJSz_9_bS`{|n(Bgh*Un7pABV+td|O2;V=roL_J zU{thyQ0j*Rlp!$O9pJqZ`vcxGvp>MgZhtr_G}!^7WftPJ_~CF=7!maHnn5|-FNhu@ zL#cq+Pwq#Gsw1-sK6NU?5EMyz&&Ym-T&9=;x zaP`0RFjxBWB3*X*L@dRJjM8`SI!yF0W+38P$~o2DeL)|Ic6_}}p~bs6+;((^tM7@d z#Eqg;G(&`cNf7Vp{Qd`&tD!imdxjECUOpD4t0)P13kft0L;Go)GYH0|XUTtKvxAsjNb+*d$Q z3OyvKH(xO?BX~HpmI{9f!|2rS7Gy|0#NNaZo~4i>Fqy4S#6S%7v|%uP`D6GO9E_Xk zDnC`$05C%sVDgGTtK}@x`R+SJg6o+MpZQLCA2K4eJ;?MzUwi;9Hh6}sZ^$W<8aa-@ z($r!NFmI4abAry6wd={>%i!mn4Wmq$o-=J@xKGJ(wv~0{(O0LLFRhjs0gx^zg zLO*~6DqYiONJ_1g3J`}ao)Xddb>os-)NR7kboBru4^MFGEe@Y>TY0r=eWxjXzL_oi zzF(qtb&EGnuOcD>P|pWy?&Qxkf3$itegAvrua;%8JNmgp69Q0c05}ZdwdEEKC;;pR zm}CKP_jj568S)7-U@Znbk6d>^NY&aoL%d#4`6_{{DY4F5QVnZ1neohL7UJ+PlEeI? zw>#6;LvpSw++%;|wOLqhtk<5ebgNz6*8H0x zSjv^T&S9v(?S+RSXyD-+c-o7A+rNZB2XNXT z&&&a>)x#YBSFT@NZB_OS`H}-Vae{D`+E=LHC!$!v)ga#;g8NkyhX^bursQ@ro)hJ0 zEKzZ8IV*V;xJj39MSFfI1iw-Xw50jq#+7f_#VPkwJVZRvsv3*TUEhW)ZgX!T!o!yu zW5^-yP$5B$0Lcm!03)SO{rA{{pr$4O|FpY**9)$uiMkI%`(a6jD!GWL4Y~-Q2twp) z2rq}dn90N4qw1IA>X(!1m(%i>z1`}Uz3P_{5)U^Z&yV_3>%!v<%pS3D zj#MwI>IV@rH3V!^D;hD3kPP0D4};}0U%iiaO_L{Bnx4Vq<`p$;ND!HDq3c9Tt9rD&0{?WM%k%>a(pMQKsY% zcOJ-xUXW93UC$U_NgK#W`zybZ#!E>J=*F{6YKbeh;EZTc{||~6*HfdTZF+l^WsSRe zdBA-9Vd4MBK^oym=Po*qTnsTWxJZ;X<#7uH!vYRMYDG`mT_hYXik9ns1V?215gef` zm!@H-Rxq;dLO3fS%fk9091lkNKpoWD*TR#OdwvcmNDtj+tahNNL@UO;h zDliA+$sR8baV4X#3%CCa>y3I2mp|_dl-Q!5?NEC=%a$4?@WqOSeqvD(T~$h>!r+qG zu{#Q-T=)vbmEKaVSa`kyqiRZwniJiYs$vumtNj)+uo7le{Zd+Thld9h%whFQWg{F` zHo{?LBOF#X!coQZQN{C7#q&|c^U(nu`$tHik0R2$-l$rptFo2XLUfa>S5kSIhJ;{(Q;Yks)89R6~Kx zIlb?OAqXCBm2#x*4+~UR-q3rrg?)^Jy}qrdSFMF4>oXgsuGy)^b7GMtn~idA*yk&A zrS(+deH~Du|BxkPQeujJoN$?FU4;v8<*ne2!2to4lLB`*ftBde&FCm5MlorB+Jm5T zi?wMGno#it;Vjnytc_v4t=IUHGakb{P6KfQ8>~TBtJeJ7SNd3bXj!&VmynA}n8ourr2!z2PvFLE!G{Eo$ zIX<(g!3K1ksxNCx-LdX=9uKvZ284KvPwN&(8yuPkrw;i&dPpyZ+NFe`p%MdnH+P4X zh|q^rZeVaG34;s<>a8kX5`Wf5{U|?Lr(_Qda=91qokA<<_-f!1D9I%Ix*iE~AJL|v zEmnOjjZ^@p1cxq#gfCfeh*Wrbgt|mE@@kkT(^Y-|*dF>+Qv#CuCFC5(;mN#mfG6cD)MB==aaGFCmTqTA=x-XbiOh$DUBYyvE-VQqMm|yt>S|a{ncH zl|YpYnzkl0mHwx4pE@m)<);))TU!tOB@Ny{QDh(0{=A>M26akR(W0kv26G&G3++B8{kPFyR(qBEHbQGW- zHJ+_1k2l%{5NGpqUpDjvmpL`66tN5Kc8QGzz#+x0?JSLo!fMoGipuu%8u?H4NI@Bt zMS-2Yo+QhHl|$H|E!I^$R?=fyE(-#d=G}(_4+Y#DnPWZlvXsz^XfIXkty{L zpNYJ6EoODNc2kJ zr)?t)64BkXgo>yTfGbq)x`fu7U(S;~;Z)`GlqFpcQ|qNZSNbcm=T4d@7W8B+Qmc@= z9gmKVTLHEcIa%rCGYlE~sv$kS4#AdH6Ys&%li{`80xT4z&2SB!5P788>2N2`B_?fJ z(1sPnS0jm-S6Zwlj)OQARMGuzbSN6=fZl@FIG_UBP<7cQ??iAL3zM@tR7|V6zmBtIAbJ9)?psbYQ>7hn+6|F>=&^WxK-sT)0aP9+GP@<&(I9?SR>Bv;dfe>g<0XRi+9be;FPmNqp16uHc zjbVhMLaxX6`3%a#E)$DQSrr&L>xC`dBGW@K(3noSEp&@ z?mkTKlCWwYT~5;VqC|U@pU4RhoC$fpkH%aT@!`3{QM~btX|d07>)h!uhK-}wdavfr ziHMz{q)om|kOM%K%5lw9C~4Xf(z$VtYn`6G4Y=$9ToROLZ*z5>ik@dpGGsx~GiOuR zVyZk(0NSVjxjvnX>D`T3mEpSV#$*zNF~_=D;lYogq$ejrp~t&q$~`S3*7tpQqKF*~ z-rh`1llam+1plIUh!j((-xuW>&Ed4p!jbk{&mtch50AK8@yp|$sus~cL!Hz%3<*Lu! zA+UA-4@dcgBG9ohW2NI^XS&SwYhu71*mZyfwiM9lt9_^pn= zxYdZ+-|9<8lsdA*stI|WDklfZ#{@lXQ;-^YupoYZ{tEa z5Vor|#~L^8zOIm<5dC__r!3g0Vhx8^$BP4(^?)89(?eoPp2Wa}Y=p5^SNM)ZHo(iB|zuB!1=D+~e&Z^XdpavVf_Oat_^?2+6S`h33Ph_o1xPEE zgBt|pxJ~@oI}=3$J&TUSa6<8axnDTbU$==D1j_0VZ@HE89 zm+sW}(h4TEsnHVojnU?UsoVMulIP2AdV7Oc;h7hk zD6L4!3oCJk2^P<-=~#_|75((;;cc=bmLpw0jtyz-CeshA#f`aOqKKeQL_AhqedLE< zs8LEV(8oxHkhCJmGH9z!6F{g4z{O118*_`GhT@A-`7+S*W3#n}av+r{hmmMVIeN1p zfb3IlBfBo=6I?Sa)FMh)HX7KjMt?)1z!OXT9@0+Bo|(k4w0VwK|(Qf-Xek^0@oR}TMO(p zl|v9X`o1&Kt$Iv#7aQ9Kwp(6?X_gw2a4{|*UCUVq+Y~zz4k?qkK;ru3S_)gmb*1s1zPYK3n51y(GSj@WABbC-l;t` z@)vVrR2@Q`sawy#=cg2KQAT6R2NRt#h_L^aE0uX3`A_(0AD1D4KX9ndz9Q4<=s<3W z%NylGt_Exnm+B)9wA)ygZ^lm~#Y`GCLna?u3;NCY+aXN<_B-I?TN^b9z|oS?%~Z!vZD|>!{0fTbWPH;B^|jprPOCZp z;hmUGMF;Z7)>ixZL6ax`mQWH3J5FEt#rF(gUZX{ZvQ2tA=x39QW|mEk0~#dyxpg)| zOEBoUj+4mGo@p+AYfnDV)6u91RmD#xWMLTo+5GPA%dxM=SDbuEcPBr8r2`x3d%d_i z#HRR0zfjYWZ)~pc)@r9-XoojED}M@SK=td?R7C};JtZCL1dND=4@a6M7{3n0#bEV*NJou1x?e(f+@dR`T6qe$ zPw8v1iCS1Vh|@S4m!5YW#+4Z3g;$dYmqC^e<<7yW2xDu7#k@r zozIxFhF=DxL!idxyB^=eq;EJ)NtVO3eRjnfiVKY_+OoJ|`a@kM~; zm1zAQ>SNP&GS=@75Kq{^V!x1#VLqD>q3+v2WO3rpCoZ_Al&pu<=O)Rtn7QmU-MvG- z!{JK{?ajC!t!W3s0Pe@s=|O7HfD|%KzM$_7OM?3z^H-{#H;}mJO(dO~qqv79!ToOH z=>V(3L$A4-HcQ$~>wv}m$Z+)}>VT!94h#9clxM|VuX5t;5%d&KhcxyU?wdYKStFTG zJaU|B5+F7vT{d%#m7PDfRwbSE=sgy7Dzj;S`g6M*-(s}4;i7rA3NABI3&zxcMln7( zKHJvbR?oUN&gYc(s8c3dNgjDieuIIF@wSOL zO#;zvEhky_Cc7LoRM^EhS!9JtpC(!7#HhXlGuUY@#K!z?n-|DfmBx-tPGNZvS!!TW4~Zl_@*K#WpmW zQ|I{hys2->FBr0pJ-dnN&nhB~*AJd^jQkt-5{AILz29OdLQ^wcpL4*w!{0by<5(_wOa~Yn5k0`7lvEAg zh{cq5{F^$&0=kA(!-c0W>Nk^Q7WpcEV-PX}T*a2gx9Ji@1S)x0n?RUY1=Qe-A)-&C zA!>VaP5N>y%bg(JO~!6)s)vMw6UVvf5XW|S2u)7$6=Lb^ms=LokMR2G1=-S9PG zaN_%7(s+ZUh_eP=wUVeXJQl9eZGl5~xZ7QiCPF!g!gtoSl95Ex@Qz7$gZ%lBT+@(X zeSuUu-_WCKkYaM;VsAg(yg!@JZ>_^TRWE*qgj}tLefMpLV1C1zlxJ6n46)hBLjihY zjs^Ykd>Qj9-}pM87K+Noi=|4Pikx3<-0FmF{)I4?gW)dZ`UMjXu27lJ_SRWlw>I9K z<6Y!n>YM6n{qg;0o7hbEwfVku%dCmu<$Y#GYM3ZnT$O0=Tsbv4rLfs++NwiaWcW8$ zFm{LPGJ50WL<%mvNJg_Y|ESAn#xE^LeMF|a1FXb9mhXA19HaEQnJQj^nxTzjQ6x>T znh|3rU5QEYduNRSh}cPMqXJ{YtwJs+5}g#9wNAkNvE?x!0-W$cY`SJc;|M_df)}Au z7+zGS9c3p(Xo@Xv5NKJpudofJp6i{y!c28}wKgrFLN-e^Ix)+or-3xA8xzl=`xaOy z$j=&LUeCG0+CHOGYiUJbTfe3DYZd6JZ?4GbtM&>pA=v~r1tE8vVi}R6HA;%S`o?7S zDd6x-jyBn}noy89V$;s{o{qY0$K$oyY!osny$!Z#`iaHB#-Lr9e?CkKFQ87hB)8a@ z{YvphOP~IX1|t+;{XRU8LF=v8ojOk?&pfuADG_Leeg4WLIBQp}9Gil>Kk++|< zb!)L~~duNGaf()E$ZLYlH%oK2^7zJ;Z~o+3_4+%ssK0Rnw}57Uu>?ajlQXiUMu zi0H&o3rI)s^b4_I7is#$!{@x3qitsktO{yv%r+$X#9{v!QifWDOT?te^+sWVhV;0e zLW{{-OBA-uj9E<%tPEbUERKs8OpbStN9IB!og4TC&ad9ytV88AT?-ta`2|gFIwzcH z5UWH6ZN+K0bd4UjSroV)UI$$I)N1?b{KFiESSmAYWFhtoJThD~RjgLhZNfp4>v8KN zkp_|qugOHR4{RhrPCn9Sg<`EJ=7Jo?D!v=z>rDiqI0`^d<=QI+$Gay7rXXLUrhPB1 zK_j8yf$NzAaAm+?Fc$K6a$?nT?D*Bk#q|ExAQ#WOMMxgX1Q@&?+WbkqqVr!6GvV2V zLg0L3p!5<TnWmYKa1Q~+mhiCK3@ei*0fy{}*O6uc@+P2eo@wL#OahLVnllFds z@rEW!yh8MpYo}I%g1Xc&#XO##nzYA+#pbxU^=Xc1lsq;IvDm|#^sl^5Kkk-S)0_8? zxb!!4Gddz}$#9FeCS2pRA~VbeIR_w@B-Vg{Z-An=O_){#Vx+Z?vGxtAK6R0k6KfUW8M=N}LxMr$%*hGwO`#)ZGAMjx7Y|3vK>q}em zYbkl(AU+DeY)#a?xt!IdLxshfQ}@y^s^1{HOLm@l!ue`(H(z5l^98CH9d#KmGfgX#falZDld!3MZzypr`&4?1 z+Z8K;>7RIWCc+Y9HgJgGVr`Kf8kJ3{MfOkqdBj#jR!Fj&+~FvR9h5fu-a+xS-tiu; zi(Yw?5N4b$l{x0)lkzrrx~Wp#2Zz3F%gN)#vb&)HaNRAxrx;=($Y-gIe&Tx)KPH1m zJ8I-3?a}gtw2ZelQ8EM{kW-;feRfDN@@#Xi6YUaW=;#Tt2ZBWC8FtFeECcBSK>~V- z!v~&w!m|Pyux^oz_uRRU(DvH45Ml(QyH~9ltpgLvnihTbFO}x^9-g#R>|jp!N6((V zlB6SC`k=9Xz@yRX&;~wmp(7StdzkB5Na2G%%?o%uyf|JUeE_-s@L_)xtTC(-X>y`;Ip?N~7D|*U%4hOokdECJkV`9i@pH zdnv-WmtJsF@&xX~i|6AP&tF|nuAe`9{QTuuJ7OJl%4ng%0P-G?pFaQQ@$+YsNBYIP z+-JP`CPo4FonWS+6N?Qx^1FFKGW_)_&9OE@_?slphVLN2Bcayi^-8WtZ)VtMAMThx z9PbRov)MadBnILS6cejQZ}IAU&*yt6ar0Tt1@&)%?_}W_m}0*^DV}XT-oqmY+7cIE z2|190wmc)xlmz|4lZuOxu7QCUe!C9}UMn*kc*v2+X0)g()!}*cGpf%@OFp`ve}G3f zt5)+IEL{KxJAp@iXjE0ZX^Y|@*v@a}ah50F_@0H`TaFG+%L*-ryJg{l(}VIg*wf?U zwcO)fm_T;ecSi-*@h*&;0%pJ5*>(>q<7&647<0V0TU3mJiC*xG{jh>5-}61*!&|-o zDi0FtOua;?DC_#uU3~Fkg}Y{7eO!DsI>N$+E9?#@OVKMYruVZuj-K^{$h`bisK9iN zRGy%=#BBKr$rY@s&?HtPH`M|XO25rOq<|wOTvj8^4B24x{rrXG;u$^(=I;omB2VA0 z?@_9t`t+jxX%eYo*r-9e>J?aFtP32~XEjBj;XQ%#>9R(fFprG(d(wy9L~@cfG}H`t z5Cyhk*Yii|Vl`PWG6cK`SZB^;RUq{|yqw>>zq*~T#j58atAJiOK)){>qf&F^7&U`n zmVdhNCydk!-GGqZ)Y#WI-TiZZ@pgp^^E4X!YN#e%cPDjwb8H+L9}K1j%>$DL|E;jA zWb1N*l$aHSWbv@R+Q3tQS4DXeP%9>O3IBTAU410JSJ*cBvm&8CH)aWwoJa^rE#<*C z;0RXu;w@EV)UY9hEm63yhZG>Pr*-!ZZG2^Q;B=dg1o$j;E5V!K6O0;;HpQmZknv^$ z5Xc_tu-u(_Vp+iK{2QpPIOZC)UNiaY&i`hSUcH~q)@O~5(l>sjJht*;`YtNMvz>bA z)Mtl(r6y$ve@n5VK6;eZ=bhj7(N<^9oG3hRfykau6UW+H=H<_2fhe{4>O4lZq2gH{ z1(G)HI5a{^+JjO%^OO@$U;=^7%S+=R6Dc^M%{$UhXlIVTOdp+L&wZ4aTbhjVkrsyK z42BFdmNg03xS+l6yYEhi(AT8uGuviYJ9D-q1Hc<^?6LWR*{z4mLO7}>?54ca&=}2- zR!m*n*V>9_g*z{MugvXV2%wsrFv9{QhH*<^3@EoQkhN9_9HRN4kaoG<1GPg4u_qTI zg8@NFVZ9E+xRBYx2dg-uff5@UNzr^Ilbtv1i38@yRW)MO1~>`4>anV~13gDFO7#xa z?tCq4sbjVIBjXYPoMl92hloinYxM2WdJUt5Z7ZWjgH?6L)^;51Xca+nE4wI}Oe;t8 zzQSRMUjBCEd5k00T#h8HLcJ}ngwf0KikSL#KKt=%x$K~$sv)uf&RU;*YxmE3paR6~ zRwmN}Aj>K3z!eXZ$+rX$3Dj!_-#IRrNYp|FCc3-3>0*^&YFjaqi4A$2LXjo)e7}sGwoW(fd?)e#&-4H zbhQ$~Jaw znzQRs4i;yQwuh_o-Ey{CVT;zSYEwjkc&3Q@T(6%ARK#-r_F+Ba63;0@lhiGn)hh6h9Vq=QgC5m@wS^TZ)-CJ8_slj^PY#k-WBu5l`)+$*umvTnz~#O zvFY}Th!92wE9Y~aLqKj)CY4(2Ll7W0bA-71XpD7HAi{=ctn2SPKT$IgQGSaBgOcH*){-xd zBs}>Uw(0rz^V!llr{s?-XB3|NEZi2ty*y_M^yih+Kjhv4vE4uUZu%Ed>iz}bea8*jSh z509{Gd2PgRrj7Wc+eZ56*fiusd9++o{_O|yXz0yAIas_S9kH%a>lI1XR@AVh)|7W_ zLPIF_W_9Aq-&W3S5@Jx6m8Xs|DdEQHsi?rL0_qh^9fHt>6O-?RtYExVL@d6X{o(<2 zWmk@5sn5>d^z>V)qSv$`pR$P<^^CJh1t6MswT<~y?AWj~)w(WxPc77k8$fil+LX$y zxBCe6QbE;rCP67X)8n@P4E6L|ITX;SwzN0KG*lfF2xsn_%&_de+j55{*?61VQ zQT|Xxjg^5cY=QP9D)6s7iJnA}PkJ(62$}jsfcfDQ0S%t=CDis0Eq2mjZTlxe80{T@ z^t5;UhGm;~^7v!+RG&HVj~dE36@cgmX!LKdcmB0%AcWPgNZ_)(K^ZBbgzutHhJj1O*`Y)8WgPrm)!n=<0+V&=XJ`@xD3FwWLVRIX(?d! z8lIfD4zGVL@~(nR9&93A+y0RQ93!~Qkg!m%+sQfQMm?<8UEs1-qmy&}T?+?~G9etT~ex%Jeqyx4KK~D1~Loeg1_G1dJ80eGv-bF(KT<;G%JCjlxau)FR4XtG;aKUwzMOt~ z{{5TT><8!k`TQQi7A8W9P-Mm^H`WocBOV8~t2?`D`t7GP&PtLI(bv7`f?U)D1qSYr zQLafG0M6>zJIr-Zd0aS^x|aajVH+0;8fUNISWM=$RwfU(bgS()g zoB+vjqu$0cIaw<@$d9mP4)pnF&o;`(KM|kg=GIjJX$GS1PFNx0|QZ^FnF^m&_ltJKUjUAAJEK*zlC?j#| z9~!;;R?_w)f9mft08^!HnJ#Bj0b|@&=7*2Cq(dBkWjvM5Nw$;f7t&ix7|9&cFp`s` zf01k_{flHfsb3Byw@LpZS-bid$y?IDRQw!P{2W%TBezM7QV8Sa(0|UmrF>(G0?hcS zV5ACC-3Tsw5eH9%*WjkKT)GL>ghP1*IlsuP(T&bNSi0AVRzz?pceV}8`Kv;ea-7?M ze6Aq}_0W?-{T*R4>7^CAS<-Bh4%V?3v=5U57DG#SF*?3Q+{A>ehXkN_abv^zoP7v< z@Vmahoqd#Hqe?)1@Zc;#%LT(Gw0;<~mn^K8=p`a1x}Dd3OtAA}j!H5ykJ6Jr^&9eP zmkhjf*+&*k@L2F|zs2a_`op_BoK{hBnOzk8jSh_bI8n3D2`tNP^+GG(K5tW?w{Vf~ z34S>EiiZr@B$JHkCRz_ z0qB_eMdazF^#~3^@l(H&l}1G*5vho3HrOlMFr01;4|ESK>B6Q1ibHO?04LvY-tC*I z1fQ4KhO4E-5vhjz;#qVs(%==?#D5>YQb#p<@bt4P<{6-Yw%RT=dBOy0~QBi`*XEx!n`G!g%=?!a-V>04ihQ`Yu5`yq zG&s#OUqM%!ExHg4K<$oIILYu+Hacaq3eC%o%59LuY_t)t0m#S`0IDJoM2Hw8|!c1Yvkp?o48bCqT&oHpOs|dZEn^{;tg=rQREv?iZ6}G zLT@qZ8$W*LwPOZKs!DiZ++=#dPb%6q;8c-=&Ioj3JM9ECk2rM8R8m!{isW{sjI@Q2 zT9F#=AU~wR^eG`>V`!hiY{6r>??bu5&e&{fY=@3P>iCLW_cUEVOAA5o02(BXRN^HR zqM-Xqaj-OkwzsutX&9WS%qgiwE1R$K8mHHuz>sHPGovn8Pq%BN$r*%Ahlusygf2h z4}o^<7Av->L3u?Mht-yFOVy0tIpYi6UJnGfZ(;BiRu7f#83sg{EG_1w0zyA?+TEgO zQqGK8AVZZ@j~(dJZ8Stn^nuQzJ5;5#)lX7(dW+Ooi^K2DNk|H~sh!LLOb?Nu+Kz9` zKCYp+eSAZ^jSBpMr!dYM@{g9yT5P{oMVDK>`4tMKPi8s7aXhVQZbXBaLyYao=RAJZ zqiS-uNa653Oq{rBBFh!bmGiuwy%|51C`YU_VNB!rQg2IeGxl3t^5NQbF1|j4=#hY; z%i@!4K`A5XackCDg2(M?s=pBtC|>ih;@e5ua5+tm1|37y9cJSlc7OClq_An!V7 zBAex;8w7{(T^z#3N{sG|__J9~8ufY=L3E*Q?cLGPXb2`dc9)1m&2?P=z#9k)s|?0X z69u)e*{?O}sCXNh`N@*i2La8LMM)t)VoeIFd#phSf#1rm9D zvsXU-&edGJhG=ePn;9P?dM_jEFm%y6yWFVd1_5!&wZst|P?9;}yH)1&DXwTZxwz(B zJJjjlN=ZAFsEQ!sbrN!PcUY&}s76+2xCAXT)nH?FMwSq=p9o4`n~rT5I)Gv?su0sh z7XyaDNW{#kPC*&HrG8(X$>u{%EJnE?dS2Q@x{Zyl#nj^ z5n#F;3Ta`LE^gwHN?23`S573zka#u!u(-oH4wq7iv2N$T_4T1s`Y)*$!h>Fi3lb7` z_6T=V#8IuLIKg%{2Zm!TnI92hVJWHwdk`&06@y^GT`kfpFypvis6D{!+0kcP2eUHg zk8z}UgD^5((KGH=aj)m{CNixeM+de(-zc_X2 zSWZF9p1ZV3QUFp%<1vm-Prk}9p1gv>R;RBX4$S$h#eh9x798j^m=0??6E6mwZ}?G3rX;UtZ#iX zsCQ+{Xni`+F&mdxoEAe-6H>%&nJi9>-OlyEgrwRpNHFgw%_YwJ<~ER$>-ht;-fhPg z-x=*EHKziKku6>Lg^mX^CsoqU1(y2bhr2uE5^)gP%dM;sY?@-7J5(WL<*v^80wr2q z@8RD3gG=J@<*cK}4QW7N^AAt$I*do~38=zDp>gr@t_DX*)LGJrQbsloy2o%hx(j{c z*UOu+<77~RNm-xNEzXzbhm}-@a+C^XbbM%Zzc&C-E2u!xE@3>>vm7}HiRi`$5#tWp zcj$64?mLyf7+8dF=xmQfc*IQf;jwxxT0n3CiHo21iN$3XBr>kIwkE?f1VdU;2a{My zD7!E1Uh21;1N_ZE&!eRi7fv$`SerlI1hBnC3Bxjg!sS8mjZNgD-{7cy#+bolSIFu~ znfHHka>P}Ex0Aj9ilXTBX?aEBb719j2$g$M=2Oa% z1Lc0SBF79vLllW4dkV2hlZO6`;+V7B6bZH2A{z8L>h+*zs)E!94h_jnD>!}1O>m@s zFh?o*M4k(eOD=(3c1XpN%40NooxbRl3c8(LqVnkij}{4tD?0)ZH)!~5O=4vnI!(r% zZE~`p!Wnx&I+I+ISjKD%nfQdS%MT_=L$zs18p#kX4b|~G?$uC|IECpxpNG2!?jWE8 z7Y__jWS7QK0;K@ZkU&tND`HanQkH50BOmdW-9R;-Ur;s|R zGQm1rRjhRg#WJ&+v6p;ObMNHU3H5MK8<3vA8U{qGlvAWqNE;N1z4AdV9cxTH28!Hc znk;@9qa0o1Q`D%`#sI22_ZS&|$t&S*Yyxf+HgNZJO6CDxrxQ`q;b+YW4NE@+@hTAd z#I9*Pdb>h_8A^2vSG_jA#sOCfq{UHGH}aBZIi#$plNZI^rVc#0 zVOw>0PX-v?YKy@##MJTjlMzswBFbUi(VnbC z=nY<1Pmjvfua)_fJcVi;yGm?jI;cIeVBI+&)LuK8eYt8K|I|?s45N){Z?soudAd#4 z;&?RpySPKSN11w^y%Dk4AI%cN%Z~tE>aGJ`C!N;>Sp%bb25lP`N| z36q~iv5&vc@9j>Lzh7bebZUW_n9u5{xuamneA%dZt9XS6rB^f2irWjG_VZ-MgwmJs z)<-e!NiKiuJ#T41MzljX^N29W7m@4PPQ4@S>I@IrO+((6%Qrvc?5?p{KZmAhPVSia zFroqFH~Fl!6cbvuL)Lrn{O_XD{^VOSOCEW4iD##>GA&FAUBe)A3O%ie@jMGT$5WQhlt1{PcUY%8s%l^4LULYSl%M=eFmi(jB>J0XwKoXGe z>ws2Wt&mFX+)8nUZpB%W@^B zcr4h!9PjSR(@?1p3VtbkgZQQJ4dR!gd9~M{SFK?TuUq00h)p&N>R3=cR2rYoDXF=(J;KC!+2qP#rw5-p+!qzM|fvpfTc;ZV_!m zoBvl(r18t3z7<)=%9AiW4+X}k@Fk!A>uOCsVh28BND*^S-4C)(UVhsW*)Sz-_?7yKRx6G3XZB?~P8mEqa6Y(wQQ7itRCm-|KFuPWaQX zEvGAYJQupHIR zaiVA)fx;ZGuVfer=lVKlfr~4=*aNs_dJQ8sEI;q`7zUReAyWL5kQ-c+SGGt0VUTT1 z*B7N8;}I0%!b->b~dF*x+s*#y}1 z>2=!eKcJ2VWfsw@d%)W68M6edMYPO9dev4t7#Ng>EwW#AF#h8JHq+`%v z3=--YAu>tGwMAYR8c@$m**C%wU&1o12&-U?@vxK~!7EgguDA7`;ZEQh62y0p z9si8>aTjgB!SR$|yrUc$Fn@R~iY9ZFyV;1(jQgJ;)0JX50 z>NLe_``dZj7VztpbOt+_l>ODW*YhXI_^9uW6B^_Y&z$P;L@_>@40q7hbnT=0|Frqe zG^3g9>a>HCka74m7R*;`GAx2og@43XSihP-+5l_SyAD@U>z^ogTWP8Vj&f(Qx~mF{vb|-&m9Qtd_5f_Ie?>&`IL_JYyt!MzwUKvZM%&7J!=nd^R&Bj=@lPHBb08>MiHk$8t_5Tl(6J1K59oE(WIHeZ8@E) zP^>B~Jh@&6*P8CxGqr5Hoh;%8d!sViu(!YKT>nVp5Ub9?yhw}4mY*s5p;d`kWP3$- zMoP~3f)ACi7^owvo%0E2`+&i}^_na~1vb|tJoj1#32Y{F0J?bgM9Qtwx z_2|Z8IcsWZUS!y0TH`s#PkR8Sp$xrEt*<5p2uoCYLYQUTEO*f4w}o4y#NKaQ$`{sJP*esiUf!7(DbIN%3OOiK;WOYX z6a$zoRR&*Yd~2y^@fKDn07g8PiF}Ia?2dg_(i3-)VH%(~;Fb~?cdF!68q!~XgC>)0 zQal)Pymz!~E*+?sl801=b~kCX?X`v$znVgdG3vYu4OZ$7Jw6%^%Q-BGjmg9=VPsX< zbm#&`FF>2hsR4`i+-wwZX|1-HCz!ZgwX!PP>sIj9O3e>jIH~}AwVrVioOzooKwR@a z+a4~Wavrq9&y;p38dI8&#B*O2O;V5w%A}$w5XyCa^#Oq@u07N3m{dRHZL62B^G2tW zlw=c)uQ=-~=LwacVAeuSiMHu0Wroeyszm*0kEqSy>cZm{sGcsL9@(A9Qh*@BBro3* z4`#jgy%sG4FY`eIiDwS{#(Rih1h*uj-EB1|)YlbGxvaQ!)TRJMdkXa7#+)JwSacAR zP0xwPiz@oaQ2>Lm0WR*VI75Edh2y4n&(~BriGcc5KkKGdP=N^EbJ;-cf-c~|c7$_^ zci9c7>O_%z(jxJ@$Vw<**Bt!G{4J!z1Ko^aZ{6xTVSonj902RoC>1o=9=HgDmB?ULR zO&^}gB+M&T?Z$1rX3jqaKB+JIiS-9w4_x5i-y?@%x;A%n3M`Cj=Hc^DG0wfHdWk!& z>MEOMQC~AK)Q$$uuANEx-6)^Bv1c&$XY@FoXf^FmD}d3M0oHSoKJX$mPP~E&MwN3y zb)JCs`4!-3v;d!*BCZ8Es8jJDO8A(x=?(e=Oz(F9vo^)@m$s0Oqhfju9=+ZC)cSW5Iyu4UU7O<3F% z4~kS2IPr6cgf;a?qcX{HG%6D_N24+^b2KUwGe@H`F>^F36EjDnGBI;BDibqDaEufj z?pGY{R~+tF9O5zMl1|0pe#PN_#o>OEoTz9;mj!{e-B>hN9@Wh9;8es!6j7>+0M7eOqt2vu zSQU-oEhs2V_`YSoIyLSh`Qo^K6$3BDQ~sI{N+uCB)&;2(K@)oQnv@|BGfB11EZCe}#%ti9d`PCo>SKkW zJ=4mW)AylC^TkR>o_ zfW6eE#N?LA-92J}<{~xhn6UDK+q{11Pg@5y&luPw(q5`pY~<_(8e!>lvmCUqv}k0g zKyIDgmfB>cck!@X$ypa3fa7Y@UzSdta9Z!pZ*5e{XZ}a`ukicvxn$F?*dB$#pOp&(>3pU3*-j zxRZ{g$mqOYP-u9_0I6jx;B9X*XitJ^x`+tXS!AK9H{-#;jlO1R+R!gS9+@=&VK7Jg z0&OtHRBuXwU9K{dC3Cehz7*4p$$<2pnT&%yeuRYYP(+0487_LRrPLZFQW<<4vMD84 z%M#qtQJdG7=j(g+lNKiL>5-GU6qtbe#c`>-nTP9vCW93P&>-jZww|eUYR2p}YNXM< z6E1uh4(Tl-|5UB3z{QuR*wqGbAW3_ka=Y8IGNuO@g5c<1nOkKQka+r6j{TDd^ZNc~ zIg9U)ST|cqPqVjb4=~tMK6ui`+Cl#MQhn?t!tNHaoogcMV{i8!EtlPoKbw6Dc2;xI zf$7Qn?qP+xO#!q%6y-sCvz&(7|Fy*9mJ-_ge7zX(eiglFNTh&QEpY*kmJ$|1+}66C zpI73ZA#vSk{{0+|2bznXP3y_eBBb>P|F<66Aw?-p)8Vd7Q&DlACqK)uivF?nH;do~ z-m$LaU&Oc##~C<`MuvOv7EK^1G1u%z_AccFPkxlQD(15=SBEi&jZ z*EU>%Yc!D7RTj=(II(_T3u!C9w_C;@s(PmtxuQ4dn$*BjJyU~VG!#^89CA5_o;R@k z`HT-}K`rqHwK1g_-gL_!9wFOaZ_2JJ(?NoSWGpgvnkOuSzN=s%C+>@$BCx6DkX3^Eb z^bA7!G8LsWX_^tnY(McwT~BJ>fXJnJ=B3&{DnkJKM`Z|L|ELTB>>rgOfc>K~1h9Wp zh5+`D%Mif+aTx;GKQ6--`^RP2V*j|}8D*~vF*vSxKCXB^u6RB^HH(SXHO+}#OvwQf zKikT?@mC-vtDsaCOcXLfu(_(PE)JKdSmdfzX9KMcW>66BL{pH^@N@xBhI&%LIghfcI1?1li=X1cHua5L>uV z!?0mNBMP6PPMb3@>aKoikFo#^nh7petHxk#x;a6w9^O*3ywxpm|5ue6B!{%A5*mq} zVaSs0*_a@J=tI8UMH3+mtcI%MK6;}|NJSw@x=s>(rs2~ClI~6NPQsFti`iucIRJw~*daWtrTd3bC8{0nVo0LL<}Xb4!(FCR(Rz$qgy&i3229 zczSJh);wkhI=;l`U43rM)y$qa#|CCyA1|(Ny8GvRl-_bJU#fjsBV)4RN}S%mOTJQl z=aL}RXQP`+Dmd>3P+GV)-L!xuH)D(*6)!~`AMBqxc_B1ZT%9ZDEpM3@qA-(%cA*AC zd}Rr0dpBhvwuk#h$Gc>c>rx-C3&=7Dq;`}gUP)05U%yY_2{wCp>f6cn_4|bX<*|Ws zsLI2_0j^j4V|z~Isg9wVCUvSy;+$-*A@n*BpU_1cvfdB&lui^Z<`3Z)dUSx38^e*k zA9~&4fd(?N19XpUaP(M#_7H|_FwwW&N2y5Q)wfDL1T@~}yrnBa%tdEbdeK7w!}-cr zb!4T#Z%>_q%_GutU6VM9#2G<3!$)5`DIK~C?23uD`cQub7&qpn4?WY5J``2gc6&Sg z_-?wE3w1dUrcRmAjN7Nbw5qO$UKLX#&PT06YyEly{v5c#Q}nVkiL!O0B>Tz6hTYju zT-~{q!PPI2*2IMuS>!?b^j23R(AJS0Qnf#-M-gBu3Hkho9`wHTM=s&J?k3*pIcv+o zmiajS6xLf5TkILC;Yt=g^C+{jLKx=9l=0mo_k2-V=1|+$Jz9Oc48VTTacbxFuQaj) zb78*zm8Ogq661wF+Np1SI~U}QtQOH^prNw_(ubXI+G0ehPV~kS@4bAJY-!&;)1Uh| zp+mA>#O}eWPrsG~Haib(wv#4)JPUVC-1d3`qQq=SPtsTR55C^{KeuUiE;l{(g;=6U zX-l3Tx;*MHHgjzTOTyCSd?}GZukuJz=c(&YLUtS6FYmTsnO!>BGD8AYc{M3J7N{%Y z0?PSQhhMMv`}DFHw!|i3lR$g98^n7VP%&Q z8{;eX{6Spp=}TegU_S3a{BUGkV%NXpcZ7p{oGoR332OMkop>8F&)^tN&0zw7kb~OR``okJSK4f zZ2EUFqAK%2eRH0(OjLxW`e~s)PlG9L?Ny3N)WKsc?o15oy)yp3Q@@*;&>@APjss3d zN@Mp6E?GTDlzS(|H@p-h-GHj5+q+wVmvihHx3E-Wy~c zyIEdpxNy*Xg|(m1s}Iw=yI%_-a?5Tnr$vS+-?|y&}a)mLW(M+HbGt?1{da zClz~kTQsIX{g!&B87;ANUB`qjpThsu-Zwyjo(YWBgNxr|Uw^jcYi|1&^US>943+KP z(uC^a#7V(TQ%b{x4I))y?-^0$7uSsuDeYbF+l}}}PD<-?R$iY6M;w@HGxCb4r;cf8 zR*`+@%IzKq?~&_EPFjz-x|^M!wZ-c59|G6uMYUYWu};ic>gcAgquagl-ZO-KesgK&i}g&KOvq@ zU3C>y&kd$8;pzWq^F$-wJ37Twl=|@m*F$c*9}%9E|Jov*d+?jrWp~r0LyeW01^#d# z3%h4Kq&~#NgDuV^aBBtkh`IM0Zua$A1!T3tCu!P3)yTeuHzrpMA+cER9p@bJ@UNX0 zJ(JVXW0rVDr70-rLCEXRr{g{&2t9bxp}qk<^FTzs%AtCC46s*^I{_mXl;mioQ=sqL zUE^}6a((;PR|U1lVGB{qCg((|{LlMj(-eK?$!nK{?sH|+Ynth9B+=RQ`8_VW#U}&3 zpn{^YMr__j;*G4Hmc{vCZPGt$PY4fCa((sq3WOqJ5z-l*2AKKdc)3an=|2oS;)|n* z0RF&PS347;;KDwWys?JQOTc&Em6KL2_=|Qs)QMM1#>--*z zonrAiH!gydL3nMyL>MuXXc#tlMJS}~ZHyv{pj52kVtRKItsF${vJTQ8jW)9hJ?DsJ)l2SD=*?*cFz~_m1Uh)mA_xybt~4>8*`N)17PyyDb&r5-vZQeNNx4L zx1J%24L~V`e^S++;E=L)B_b$xmKyY<4@+ck)(_J=BrNENoxX<<>1x>Pkox``G?=MC z=Fw=^lw(zxkHIuQ2rVkbTam;!uOkBKOjctg1dt2F!K5O3XEKB|2=0O*W9i##BBY&m z;8i}D3see|-aP0lJm<*ANMmqzPzOU}b7`_DaOf88dlmIXCn zDHONaF^{n4iTLu2I32RoO^!LYg+pbsgLnOEQ}Fm)k2 zL=Hpm;;$y;Z4pzVjrLY$5dLg3^Yb^lOPb3cG=#l+>|39_6s_V7Z+*8)L1C38AG^KP ztbm+b&H6N=AYabDhnxF-IF`yTrO%KGG`e{CP%Dtyx1%6=52lf)@C8YoKb{?_)kZse2+yEO&>NQ6RnPLu!}=c7=b0R zvIXs0kim|wBpV}`x2;&wima$=y96W_yR@5YSlFxgvGoL)VlTF)S%i~Zo7@+api(}g zP`gLp1&)X>XCGiC4Iox(23?Rf9MvRk_uBN05c@sU-ogP%&)aC;E!1QTQd;E~@vcL!>TpA*rxGRee^ds0{7j&L;8~|MZWK%LV z)W>ACcS-9NjlitF!4%sAvj%*SX?@Ku62aw*t@!oSFBs71fz`^=mYzk(K`ynEA#zFc zqOLA`yD31(Y#}D_4py=uu`kol?xuj$Ar#YC2W8BR6(#b?@CEybOW-E?1Kr!9n{(}x znl7gid4DrTJ*a5s;}Hez3C5S5iL+LrhhmjG>d>eA1$E220r%C${n>aXBPZJP=&6y) z+V!TQFm=8C0A3U9$7Vm!IYN=0=%o=rrExu)0+h^W+Wx%F;N`4aqHK~t)6fxMW%yxA zrJ|Lxo!t%mu`@SZBq;9nEN;%$zcjIQ<6ev17g^HT#-2&{W_-1ru4d;yyps&~B9xFn zy880X7?N~(_l~Zl6RRfp8Y2SQy&jok#CT=1D6<8U^rb$Q@k$9_b4lc7qg1uuES7Pj z+3>{#5;D7TVIr3Vb$hB~9~reT_TevRgnu!6?5uDWw2qhLR#GrT>kxalBY{x7)Iz(RELmBv<{Y%Ac^_FjMXvVRid|Fv?)S*W=s4qjS z1XUjYcV|8Q+H%Nrj$ULXCwlQZ-9CBj|8qFa#PZHKfa$7p-dTc57YGA< zuIlW~K|WXA-|EPwTgOo6Ph4cAHezLb~l426ZU`ZN%lt@@)I{*K)z1wr6$&Dwf zw-dWNJJ+>6zKuP0wLSKDydp*2JY$b2k}A<9O0-Cox*a>AU{Nd;yC{<8MWyoVc{;JL z=ls9t2OuxV%lDC0JsTZ%iC+RpBoc{4A~TWWJKg^4J zdO74E-_;kE_9AMsXtI{wRnj@XSrMLO?)a&q|ae_+L0sBt=wLJM8 zj1`9k!TB(2_(++9ARcpP5QmPPcj%uLu*<$=>cvCpZFrDxrgz)HuhUNH-U-^bdO|3pap4`||Jd^u? zEk9W;&VmzonkcIo^=g_=L3^wrirYS7>t@|@EL)6^o*(33%`WM3cd>(|g{u#~3%SU8 zqbNH_L0pX+YEYPoND&m3)f9D5%Kx77m__g*)gO|zY{U|@Dp%^jJPZ}cYf|yB;3{}5w!Qqk`0}>;`Y*y zR*k4nNoT8ryv$ar=&;tNA_QL*;f#Z^&Nzcbb2fZ`_2z5jF`&H-x<%|C!A> z6bX{i2Ybshx|tBUdq?}#+@f4jB(~@$vo0;wDFsrl>7D_x9Uwgg(|n4=QLzkG zq9e(ogbVGI{S-HD|$!H}>grBlXCccbXD5NuDuFa)8LYB*DM<_?9 zy|iZr&1rIdq{-50FTL}M+&e3Fi^J5t78L#My|di9B*z4hUgMS7@o>OFvsqT3{rL$} zQ`$XB-K50{rIm7nHcZ`ZSrkG9#Ds#EE>ak?`Iu7zSR)p=WRJZ+pmEM)J0@?0%aq4l>7VU+E8%mxhO&2zVx7E&3 zZVKJ@ZNc0$QT`m8cK)1bruTRqa7fCO-P_7FZp-^Mxw+v}_hNDaLOvJl1V2pm5m~*@ zVV{lFTL!kd4T%uCU6R6f7a~V7wXD$e!9HIz7PN>HdhaCNUvtI5uB)SPNyA>@>qgsM z*EHU;IN6-NDs=9S@pg62Ey``U1y|#Z(a;?eKYE)pMSPH4b}6YpaEL~)A3BI$5WeTuyKHh*4^pT{I~eRL>7;3Z`1alZP9tSjaco9?0< zIGxiu^%Ura5lQu94N&JrJ+KST=*51 zt!=>JrhXFmctoI8u8o`S?dGx8BWRAVXtI0keW&cCDpW^tj59}fzLLG!L=Y20?s#w z({(Hcd-=SaM#)eGyS&bs-OKH`IEI{5NQ)u@Zj2X0djPdu9Hb?e>&G|d6~_4H_%YIh z>*b*xJv?P>GP>H_g^1fFpw*)!!ryohK|7`q?rgeTE$EWKy_}9CHJ}4C^k2}U5guDu zM7YgGU>yHa=V4S~5O)h{i0x(J>5IR`g2M45C_!M&GLvYrpah?WmbFF{HoWV7)$6x9 z{dT8S(z}A|lot~!&f?`UI?PmhlXGkptFD|-Z-n~)pImd4{S?EhZg?glg4=GSl(cK}P$|*j4P!;m*%99|yg4TMXtqeSliuuO@Vn9=DO!e* z+yIk#twgs`Cn5VIn%YNb_I+89l`E}}zTjzIp5gTg4k1A$!O7H=z$+Z0B5s5Fjj^J# zV8cB{hcR9vzrlQME^g?BRSL~lQ%FL1m_bCOXSNjHs$Dws2eFzI(aSZv$*QNOE^>Iu zg9V!np$*v=n{D1Cl- z_G1xA5hQi(r{8+t+sijYy(|tVR$DgRSaTz+yBNJ4Ef)TqLzw>~z`z*fK&(rL8lF&y zVU5(y-oQ8*m;o$SY_#Iucs@WUu?z@ohpum-#^|)&=ldc&O%cQ53bRQ_JKpIOc5L$e z`xf05td`?y^<#76An{=U$%fZ@d8AmmP5$VDfu4HLk)?%o=nYEtjRDwAlAhPub&$?KT;BFZv#GvsS zk@R%KqmtS)i%?;;dAT@8jvhS}VzBVkS=OHGp%9^m#{%$rOB9E4Qb1$Wv7&G*+{+43 zSIAz6V{i%KFisty*kx#fqjOX!#?|}Gddi6*?3t3BOr8-RqyKPvvOqd~x`jzPI^$?# z#x){*xbQ!el?Zr}-2>L7>YjVQ68^GzRh}x^t7HoFR1}?GQ#?=?fdbJ-N9rY)oCIZF zPG`@p=S}NkJqu@WT{tq(%k^@Fq)#+(q>jx)KZuYe=vyyuO39E=+(ps(b4iA7y{JhV z%``UcHf!HKp|I(o|Bcp~SQuIX#1dsro2V;)NO`mvtdQ1VM?2XGPY{kjQi%fy)=l!g z$i+L_+e(Oh(k+u99u>E8%Ppv2%*Qm`-k!fjsd9SSU8Hh0#Q1OOu)uD_Y>3C}+*Q5m zPXq{;mBy{Q)ToyUMc_-7icv=(a_}&)_9((r=4Ark-F>yewP10Ks_Cu~|PUF2mEC zaqH0{e>zU_d0(dERvD%0=aPruJin}-K=4o9;Le5iffH%q(4DkTpY7B>NP@9Na*<$e zi-m!9xf@OP?HbtU(mF{NzRz+KSa=#Ot`i-Q)EUim85EMtIj>Z6Il#Tt@!Bc^l(Uac zy@Ru{F=3T%K8!KZ3T=&Z9DFL}YD%Z~x`XM+> zu>Wpyw(asSrUr+(qAuJ}o7$fY6d|ciUl$2XRsz|29=gCJj@2Y?GJ6=d5m0s#ZnD{F z91#TucYH7$+rvVE0Z&)}Rb`RO*#)fcRy z8(5WYQTJOhg5NlM3ff$Z!8h`Rr$4KX4iVh+quO96AS~(J1QcM+(-MOoO+z!xL+{J$ zj^*(UDo16Ltt#`f{ARWNKU>x2`r#W?Hm0QP&KVGOW4bb$qZ{2vyT{ROT6{SU0+=+A zC}q0$YLOJA>;*guENFXCPGDX=h(@g$G&CAF(II>1SGXk$++f}0hVmyhDOd<@AW`0^ z?|Wsy9i_np*Hme}Dg*F`zHp37xX8H>6bJ6m!NFcFbdc~Ra+&ZY>fwWf{pfZyz9e+^ zqkGo}0E+91-7~<%Scmc5?1RJO80ILc{rE6~Ioz$JU!ryaCcX#0i}c%p#140H6fpi0 z-vi&R?jD7PqSw>*`@`KkmUA?(K(tx?hW7_LFw) z$69x|AM5<#{y{?LIF|E%tj|aT6SwOCx!^($4{!x6{t~z1AgTS}D6ah=$&YZ5U!<0YOX8NQ1Et2^Iy?Dg8yCrnlM{lObvrbVDN&4CBC zJAD!KL7uP#WCM|)zZJ8Fuv~cwXx4UjcfOS{ORtqjV7WGRMytVFbV&r7Yf~2&=_hi; zAc8*F`F2`A`7ROMk>*oc!UvT@Fl2D}TTc$j(I|;;M5Y-hmhc}hgHLqP{UFPvdM|Sg3)8Zc#|xG z3q}tVV>**3KSMD16iU}5FT(ZcPis76e;+|sIU}vnV}X2$hmEFaOkI9P2!U1TG~1l4 z3eYCqMFf4I83|MLN03Ll-=$@AW(w!H22pon23D<;vmS^v^I6f5DCXfG_)&^$Q`kCN zeU!0Bhr=W2nx;z$e2O#=>jK3AL0*r>(oCRg)Au;TYOk`YOtoL!;Y4SF#16jA!%ysq397PLe4|s#xdT>suEL_m2 zuSUx((2=h2a+ZPFhj*YR!1K|>}p;1)D6y*Do|F#06d zLPRyNV$KsKq;@#s_sz=PI<5C3R2>q7AD}v|c|U^sJ*7}JEf+v_M&u($q=NYcK=3MN z(Ut7O49P0a6 zyQq2pb!+;r01&e|El!MxUz2Ybk-{{t2J36F05MFU?zmfhPiBf#9Ywjt2D~+0KjOmRI+6iW#;UF{uyVsEB#Jp)O+aY<1nzyo1hgMc;|93(JjdnQ z%y>NrJQTwNvfW@Q$U7hrBL_Qw<8`DnSiVmN59V;?!!gMHc$I)*3Rgzma+$1F_YZ?5 zn1MST++U2IMxm#(j}^EQy#bXIb@7U1A2~>sQW;oUXp@}^+l&c41-+O}ACfiJK4$ck z1KhA`5*MJ$Sp*aKSpswQDuM~@uE1bcLJG+U?noOxfumVEfI7e| zu7j)4;d_um$nHvzTrWJx9|2l#R)CVv< zoL>md=>gX4$~Xb0`*0Jni-3C!(hOr22bi|iG|1e92H^mU^N`5-3>Ut&%SszDA;`t( zZRkAE&dgtVQ;K?GUNLd2*%koT&MZ> z;7u%bteX{wa#)4toE(Qodg3vbTuxphCr~KAaORcy5kNQ*6v2fK^>{%iZBnSfQ*AB~ z4Oyl@!N^2q8IVBRX(mo#Fukgdy&SDR%ogvH$}q92`I7Ho(q*6VbJ#pgtG=*H+n zqoILGRm}|6J3`>{>@m_@%&5Av;5_$dF2Whsj5(FIb5-VBM`^DS8#k^bo6PC3{?{rpIy8fq#fKw9;S$hm)q^yh$%gX>tn$kVYRe$9 z=sF%^MpnZfV2x&deLs$&WEas_*R1zL#xW_YAqqOp@xvIogmYB}QwTsi9US)?M`{wz z(15yHy^9=|L(I@2^X67i$QmZ;5Q_G2@HAos6-vI*?jcG|TXr#=Y|MI5ylz}almgWO z=PZN!ajasPv6YGe?iT5AGs4Hb9g5cO2PF%-L+rCFlS;XI+USGvkgmFiOky7Q&OnbK zGcdtYpokJCaHVJ%^6SZ9krKo7tynVw@bPGg{CA;Kdx%xD8dAN{f?~I{K@!^>%;Cx_ zYCVsJ>s6#hSTHL`5a+U@_%F8Sm0Wr_tshR*hgc#j0^PxS8P$M=u@WR^9)!W8SOh)F zp+v-wOf6;)@QPI3m4ibph83yayY=cm_BanQO)F9|#bk_o7}hp2gqH(tm_#c>I*#xU ze##7Cb7IH5t+p}@{0-LDdFnx+JBH5D#}xik&ySfM<@^}53)^|-&XHae&}DiDBnl35?PP<8kNJgd_dr_XS#Tgb81H zFa+ISjBtX0-sntw`UrEr@(&37k643ew|0&&iCE- zS2*n+Vcu7R)lJZRqEJhX9zsyoj_wKNdBlvbcsyOqJ}gHI8rzw+W6uAP?{s!Eab(~4 zU2-Qjlr1KIC0msODZ3?k1Sdev9C)D!{%{iSIf4tITE?NsJY3*A6Ey^dV4OqCd{hm> zAOOqD2_As5rxZlTN@q$FX|xM>1W!P9(dDf5r}bb0XAh)9>A)9IUV9Jec``D`0$nMy zWW?*O-%y9=plf>5NCdOKddGgy&AVd<{)uH!azVz49KlUy?`&nJj5LI5|{7h%)j zDJZSFSxk_rr#YG6w9PV+SLiQ5^TqZ=3}8E5=>{E*SdAWLi%6vAXr8ez5-5&13)TYM z5NzksUTSx+3XcID!DCQ$UYugDC?j+D3#zRQK!I0Kq~$BU*XyagQij0Fo4j*N|Y;__31>LY97u< z>*tH3P6`9?+&rlSn-TPkX4pjd6H5QZjKl|cj^I%!px$VXL|8}-7*c{)p=KjwAtRs2 z9Rh>7MMmz)>_gl%I2&pvmc_(5e0JfPGI&PS)bvtH>ki*3ihvI^aXJKk*wjFsQqckni3|O)oO3}Zgjtnl@Cseb8OSo*=~`T zJDdHFCwEWSGMp{$?nlToJ-VCRuZDLo{xpADkLf>TF#Flb9kzKlfsHZ$TbSwSLrRBf z{q^15UE{icare4$affyFYA5*8dd8P;E0~M+=^dg;@9E6h|4`rWu+z90J>s;zhQ2$W z&E89rOQ`m8b$_y+4hL%lB=1Jg!x2w8FaGqj^EaS0gadbn<=`Vuv%`P z5vbJnPVVk-3#L1pe0-cO=I_QsBu^62?EJ;u9WK3rBsb!F3@9J4lRUezTlN;#B)drt9O{A$sX_+Cx-Y71=GxS+qdjDsR{lOgOXr`7~Pi`4^P)` z-*$HhSiU00LZf8(8rh9lih?e{U%mk})h{0jhT}0mIJtY#IDg%E0U?C4A_@KwrL9K) zwxAds@txB(X%-Km?rO2~U%=7xGi~=^p1)Eq|x3k)LR< zB)MC#yq=#asmF{iBdk8|{9ku>ZyzZ^)PKbv5F+&f^%9~NZ)Rth&Q?4B?d}d{8N0ks zcX#yT?j9{ zTQbP;`YI3Wk}hFS6K<`Lqx%&|5n~g#(xx~cHJcxt-(EIRaqB;W*pqmFbPfioF&-cH zs!)640L~?I@FZ5a7{Ic_6N&=E_3ci}kYEopTeg4x>H=+{TqZ_{%)~V;65huR7?C&S zH$WL~-!iOPrt z2GOT6Sk9j)N4=IxRBqb|C?#CF@+rp+uNDs?`;bfuuz%ic+}#1{C%y|nVy}96(UZ4O zT{q4+4U%b79>%aGZ*mz(Jt{cWbbsbpD;PW)%rW>|&tO8*YL5`kWme*BoJAZ3=u%;p zhGv%zBAGXMthQohgMg{g`CY|sIjmKOe^eOClK7k7PvY*0#%LLM5KD`0L1$Wvp&-ZI zL`w{-mFjE>gbR?{U=DY;dPzHkLJVfBvN9dCyt~oD*_0*NI>UU-cDDo?s ze*8V7H?{WVVZ0pp2) zKP@#f1I&*M3|`aZbqx+%iL%Q(JmfeCp9KI``rk|HGTBPdhd(YXv)I`VftizKwa<(U zVos>Kn{%L+wynKy`UtS{&KkFnE)M_P@K*$Jc;0SYUBbb!0=0m$Tkcmd#WNNWKPWCn z>5np{7o+LJ>K%|J|8YvaPiv_5TYsDau;d)2kbbs&9wIqU2>@>WTL;{?V`~(6{&dTY zf@A+!R?GZ(h_%56PTyeRRl@18ym*N?LF7_Nj5Ap-KN;s?wfJP5 z$!GBPP>OSu=^q-w*nmN>aa6+LZ^|*-jS}21GPq&@uHNE8BR`Qh%#U=6E(4Ubhu$Vj z$EpIr;t+FdAqeb^cq{ak%Fp=F6N6a8vj$0?QdzMQ8*kBW>+6fcR+!f@%tYUK9X@ zq+Vs9SQ>~6uNG${lZQR~T#ui0PWqxq3@S2&lbur(L?8RJ^ATqS1W3-f(eZh%j%usy zo7T&r`r*f&uQDt;6y`9&*^*=UnxxTz*d>J@d3@26?8T4_GJlhwm9UGBLnqWS zTF|9L#H%XQz5MW$T{l81kUCsLDyH+l1|(Mtf$;HO1C#3=tQAB@83uC7xg33pj+gO5<=F8M8d(MJ z&ziF}Hc||g{-s##zsrr>jDw#dCEREl$eC#+lDhpKWSlvsi$F z1|9i&EWw}_$|JDA_QQtu=vrcwuohd2ou?OwyyInJ&p}z4gp3ve$JJ2vlzib z@t=hDA2vfCGs)|6!2GEBja1V699*fkzcs2_o|#fEHoa+sv);<-ol}V++)q-^({iw-CD+&nuGwgogJGdg zf&qK2jex@6SvlO;^7nEZxMt(L91L*`!(V$Npz!zma=3}*@6|SN&BjGJ*m`35!``Hw z%#@eyx)*@L-(@-6*OtGlZQz=XZaLWcYs=psHUbKN*X3~cmcQO6II;mv=v7`Ua3sTx-A1QX7w}+uA>6htTr}7$^_8d1V~W}GXb==f&DED;w%fgMF`_}BAAz-i{Ihu z&-3V?9tyb>cG~}0^h95OUqWtkh{fpZt8YZ4$8x&J)0p7w&F925`Xa5)e=b!~)XOYU z_@g>%Vifgi8(8tXx>-;cHlejr5;egBk>~P1d=Bn_1%F+R`pu#>Z-l+x|H(Q?(wKI# zRmAa+e-?gjwgMKyud}dm(8LhF-3nOd-7mASD$-)y$geiRiB|rx5KKj8j8@iP=8Sz5 z#gXQp7J}+%j%j{lYhd`PS=b~5^egGH)^^Y&vS#Bf3#ITO5m01%UJU0WO-8ou zZD57$?+ZYK=#(M*Y8zN`W8lGNLAQ)((X@cYLFb#$_%~+bGD~7}bd08LaB%gR=(6VT zX0h`@HnZmc!zZA~JL(^(Dl1NHSi}-Ukm7j`joX67**o6T} zaS0j$x{Y{k2pJlep!p4OTcx;R+|hD{Cqmfv2B}4lZHr0?FNvCsS3z|_Xxqg@XdMY+Unr##?3; zM8Bz1!cyGSG2iJ#b@StNfXzH}OnvOPart%j-r((sE-!!rlA#dY$&N%CIz9mS$qblC zgNjLiG6`ITpo=581w*$Y=*9#6`QPvEE^rwIfAX!8@AGIRPJ{1g#*z+Cv33y?nNm>V zdjfK)VCOH*PxBBM-ASpx#pVT0elAxF+|r<{w)l8Dddqi>{6}CeD77hjUbqGJLj>{X zFRImkfA)SfRjIoq11@bbpm^7u0X*q47-s`r;+iEDRu1p_SFc)^cirpu>-I(KWvhqF zcwDk)N?u-H-E@0648_E1A+av9x8Q!q1M++QHwM^0Kfl6N?7!k4$LF0^zkUX~JO7nG z)XuM8Uhe!C{(BGi3|tE=)jmQ?bQNYKH*x-wA&>4FSDjuT$r--Gzbgk8ylcR*vB})+ z-Mwt}@2JAomv`;Uv#Yy{*6Y?qkN0rU+GCVmHls4y??Bm+E$g-F*NyYLD>T}Ywe|I{ zJ|M*c4#a+7CX@0m712#l#Cgz>PROglL-V?R+wQl}j_aF7A47u~-nW#yvY)MRY1@fd zCfwFVtKU-C|0vjj9Ox8{Lbsg{^iHeZySXmK?~DdZ9F))FL;Br%fNy*ARqHEQ0B*@{ zzdoEKDrj}dHS?TMej+G`eZ0uCMh1GM$;OsB-5w&{mppxcBhB9x-R6WVwpLuW+4=8W ze0ct{*}gjcJrZLQ69?z@Mx%wHYYI=>{Z75>3QwGz7^5WY6XtsK)OOI+cTL%B#ihcgQT@qGtzL8la2 zk2pR6t45`CY{H`BP)YB@U|vV+a6TC$$lr72bV9#3dU(XOF(yo>$}R2L)Vk92j2QZ_9<68QXSRl#PDFK*1{A4`gHxpAV4alv$m z!EA~DakQ9)#W13_@C?W2kvJT8U#aE=pOw{RNQ5#ZM}RUh3U4?)VF9R{QvlHLVtzT9 zy%|g{u5T_!A8_TCNaV|r=hl~dQ42m!xet*T*kJpnp_2xaqC&b8fYx!cK*pqlxR!P4 zLhAwv{_Z?S#Z#^6$k@kq2G{Hn| zDNDi;s&Jx)KzqsOF66TxX6NF7HE=&uihKi1(HS0kqmy` z<$RA?%8MDYB;YO^;f|HMsq)Ld6nc)C@Kv`)-{~GS=2hErbk{3RP9bGs$ybFXox+la zF7YfhI$yowDqxq`HhtT%aQ*VsmndcF4seGXucQRUUJFezrzc~4^2t=Qt~Db;$;-l$ zQ(fZCe(w=(cXu|Xh&um6^Fhe}}h zijIN#XGcfoPGN;An&b8nks0&V{54${`BfHJj{a^7E%$@oB(!~-g%P<;BQ2iewgRg6 zZPp;B#icN&#q&2z=OAi^R0TyHFK`!Ms)Qy~nintO&~`8C{TAaLmf{&cJ{{38mqYaS zMR>&3us|X|avZtxP#hV6P!`?~auhZTqLx-`kxHacf>j~{uNRcssUKeXqHYPMmysDu z1SgVG1`ECs31`2@fPW@#I=PF8|eqVoG zziV97dp*^p47Eo6w0+s?mlLy$wZ1Hev#bH`pSEKTkYAKR8ukG8^D?lji`HGQe|1e_ z`DFmu>)xE+)f@dbF2S+5Tg^Lsx@h;#Th}G9mwm>1jlpij?M)+zQPZ{bns|-utbdEO zqYEmu5%^jRhAVn2;MT3h+P!z9$%Jx?+`5H~d^7p)NH1^Qa=Hz-5O3EkR!EH}C3dyg zCZ|_EeOnep`)$hNLGO=_7IYEX(eJ&3llk>Y_v|%2gTsk1EERk@ze1zVuH2$eQMpCJ z3|?#P-}PQs8?SGxcUT_RJ3SA~%{fE*LF8Gq%SN!qSDPuzr~BAtar8!m1s+HHmXNJs z$*w=!HMAx`$sd`AJ^gjhe`O9pg=1HCZ73q8EQKf@A+N{E)!C->9k^#~n6@npKdV}q zLFvXi@)a^KyF#BIMYBtM|H)*rY~N#TG=4jVWNZj3fywAa-SwM5E4`@uMj%6$$dXjR z(~s0eF>KYP?s`OZwEx)eoi|^%n`B{;w8cuEWo&Y}IT`eOr@e*%njjdyT!onU(!eV_ zhMto(f?1Ea@@pyDKt;dz`lekM3ZbM)t0P&_C(4&g9fHHfqT?d6Ci0cw@V7@GlI9(bY0l~!+Ny% z$QI$dCq{(nyoSiPNH;gFea{v)E_!X4K{9WagYRU@$n>Gt3`Ic-`Tn*oJnQs95gQWA zcQAjLdM$_cJC4C4NSYpo?NYZ_9+8tx$|$*sV-eW;aUEmQ-l1BksW~MT*TK z^#q3UU_L%cEdmW5Q<$>})^^`qHm@%0omRVvhFy;-iQIUVCFcrr1ti3ki*pLG4UJ zSV&?FHF9)SA@1vvSTy=7mY2de_xwGFffOT?!D{)2|LL7l&ORj1m?8cI`+{ZV5;2oG-Ifl) zD6k%baRAYPwe8xGb_Q2HinxIi0{f<%GBumkWeD+?h7nQjW604~oUBy8Z;Ko$-jAZS z@Dps5#pC+#BomkLOgT4^y(MTjEh>EJ52I2i6?tYPMs~%l6^<{eArR?|dN-=q2@-`d zT_o9jgZ3?@=3g#0z2=Q?Vte=urYR(;!0+3RhHlO88>%ake(m z$9ZL!_`Ilpb#>FnHPD!_VS+5NVPsyZn7}ogXUb!n@?ym-IE8Wz!8j*iNb72WE}%mi zP!uaEN(&r9nINsr@>L9o)-c8TVlm)N7crqJMaXk&!1EarGCkpn+c8Qyu1?b6P#s7*xr&KmG$1LNq)`c06}p#!qc2R*n-$8KXP{iQ zhL~`+sO-YDUA&f2t44VYZe!2e!rQ2`g1^NMQOXWU!5I<6=`}x;rY>gRz*~N{Mz9QN zgj+rQU5jJO7BN-LFy&G5e3j$mSyMgiSWAtl8cY!s<_!`oA43Sorvrp4G_bq(W-xqj zbq0_HV=09MY_57xy&NHW=z5jRLoFjUINNxQMNJ?T3SVBlrgK&lK&>O;O{xGE%SamY z&L^Q6VW()fSsu-3QM19KqGyBgj+&aBV2zSuhY^0I^#rgdvU8Makf|a9UPQa41;!AE z#W*T4S^yz0AC6pP9Mci?#&=ahPV4ua<_ zUu#MS#>|WJ#za#3o3yjV3w9tmb9+6yHwWj8szc1m{cwFkGa#Oo7h2Fr<#IWg7FN^z zq?M^r&(A54)xdHnt8hhQh3cfp3)VSOE6!Y{fs0WK02hnaim-TGlI2_C9 zCm~tBL!{emP0;s{JM8rb?B#~PLvrkW5|-mH#Jb%%$cRr2bUBZQ8}JI*+=N)jX-=KR z#0Q*e-^yvB>s*zQoD!O*s^jFWA@gGdM8$bCns}Tcmv=p3g~QVw1xid#oHwSSEiLkW z=xH1XWM;h0>_9>zBe3Gxi2wsfu1IVNAZX^MWr(dmn=6;SxX0Gh6#~cH07>Dc(0144#%Wk59IWpPWxyd(PyO z622SZ2%`d=W#tZGQr#qbLl9?5^InMpwS#jTSUVoalyt0s*_4GWvqq@Itm0r3sA3?y zf-qFo0zBV-4JV-rS&RKDqaZzOc~hgzBsoV63=YW)OqRJtf}w1E5;=1R;sDQ?7<7cZ z>^ef)e5kl|TvoQG&*+S#xgkQUo}3d!kg_8yo{JEL&ys6i3~YL1OK8&}@NsgCkT4L> z30UTnW|Uz(9*6*LA)OSK@Aq~*_f{XxSz+>o3kG}%5^i&)^^nNrktuIZX(1j3D}Iwf zHF$yRHqW?j1XQpY&p*wg;ERvInjJPLcqo4`ti+ZarHLh2C@B|O9Te!b*lb& zZbVo%4Qsd}U-k4o$4QJqsZezH;`Qk(J_%OnN|z(4Axe)Ea;(Zn1*9hUNJpru{~C8P zTGxHK%~RJ=5r-Nt^ykMoaMj{#I9k&q_-M(mY?%X;2iHu?J<;*b@4TUK!00I&hhEPW z8=>nKtll)fo(|NiOoNc$W?g(3=HDPaP!b zDdu%=iB#*ydJ@tYjdx?YYynH`#jBTe8k*?$#&0HWCfouCuZuqw;;6Y?*MsBJPQmw+06PV%HnAVN*oX{sXYS+C~Rd$lZtdkz*%+Dp{EF*n$*XWRU^Z&7I4n!2uslN zv=cRIfueZsBr*(J#rrwA_89A6Jgu$ajjyHQgUGOIzZ!cc2y6LKo<RMD7CR%; z6Qy!NHDq?9O?kEs7Q)KFW_=oB0N%&>XpDCsZ3ig-P}7V7wU_VUKrjgVz>UleD-t87 zH5G9JV9qZ=TLOC7N&ys6E0VUvx&tq}ptUh%)>sAo7d9s67?KuGZFej1z;zkCp`#Ob zft8SzW51!Tgr3C>j_J)79pSf~sRy|MtKWZ(2Uxww8LwxHDZ4wh6r@lKOWf3DrsXt+ za{RMUV6%(tn9d;sE#38o7KC*@`=E_!9w-`SdFDf)NY{LYSjL{shHJ9~%C!)@hd`lz z8&ZJkjHi%_4hQGR;mk4KZ5-0Wytc#i?==*yiW_>9BljuuyaI;McYoy>wFDOw_p#tkaHRtV}FPp?OR@|H8w*jzWFw*KtiDiirdnm^@Z>v}Xs zh>)uym?{>Py-qMsC^PM<08?uEp<$%r1`die&~FI^j+ui2C0+zUq6jrPoerEB2MmHa zU>(8Iu&QGt6;hKLBOqVE9XL@4i6*FNUf02YBNDVS6Q=f792`i;aDhkb0A)CF?a6gkIcB z4q-ztDP`3t`fwZUwVLBoDDUl;f_XPzEz>nM+f!-?@PwlrI|okvZtQjduII2Eu$dlm zB(2V2DmVjafut~+n#pq{J+PjXI`vnrcK5n|iKO*3B~kVkT72b=IDA*Eway`*u2(DUuhDZ+?2`mOx1$k5>7f<_sa@tQSQ1rx!eMx) zEV)}Ct}u73+}@d#Yj@8l{hR6g>FmRlb^vi&oi98d+rsYEgdUR2mi1Mcz2fTe-h2&r z99MtrZJ_Q21qRp1&EalUI$*xm@rSm%af&=>*mQW^y5>_^HXoD&Z_Ik51@>Ko9?q|iM>{NhiqENlL$zA{S=N%=jH+zE5S%orQwiICMTu+pw#A4b2U>w zKt?>JoOUT^5aTJfjIsq}ncTesaM-J#A#2-p{VUw>;GQ~4t8w>%HT>xnu45%tP#4lw z8dnA1i!}=2K7tN%1vHTf^^J-fT2tM#1iQ`G655vcyA$~f+?SMx%nkG!wtiqZ5P<{F@JFRB>rjv34$#zEf zW9Wjtrwzi0L!c`PP+W_v$%yC@q9bVA&?dg?_fO;H=v;CJ*u;*mb-3<3TD%<$M?ALe zAOK8+-Frs?s`6ih8rNKQPi+54kNZYn58Lmgd+z! z6R#^KFCD-ebzHPgG=jQVT zlGoJ%hWnZ{s!_k_-cmw9YZSN!^DV>uMVEl>oMM3KldVs+^yb`V7Gya5w8KLIo!hL5 z40E2UP@|p`C`0E86zN{$s@V#8l}~nnDcIAis|#x>nKpmO0a9a4!UX}O4;eu8TPpzM z4;e8vz6!*IpH?Fkf6dbio7<6VrQaE_vx|NJM!z#v-ni72ObkC0pi@i?=@_7|?2E*T z)ORHbB{)O#bRabG*etSzsorm57?~`W-BYAYQBpa*xH?Ulp~)h)#BRhSL!O2&9=RP4 z-~a`NT>v3;f~ z^~D4CM_f+t`BGDDd15N)@~+9r5tsEU)fQZpeTYQu>T5@p|uKPJWrJTV1joahq~NR@%|#}Rbv*$pF5=kz*+f!mr1_ncOa zYp`ZaW28-LO-5XWHm%67+hLAk$#pF>_hww$4@%>)*CpZAR~WC6@F>%2x}u=o<+k_? zGiXS&-Rqj{LP^V8l=GV%0$X+e>=H@5MvKMho~GUyoz zyq?`$;`KZ9lj=GsK7UHO86>z9EGus8ovo+CpnKRqH8pC|CLBu5Js-y{CKOqJ7G}kZ{`v9quzbbwF?pBqgKio{mvq?ajW_zN@2w zy)H6$wAsM*#1Q=iBB|9vXzANp(^gq%s?q6pySJXtu^-PVKUq4e*RESHUqQM~v}lxb z9NCdcJVa;u*peq^4CxWx&F-lzYv#I9d7^N>Jn=00GN3K#gtM?%>9<%3a`%&w;&9xY z0tp~D`T13on{P$chF5H!7O*S>P?p4`_Sfw$rOb$XxGOlM<%{tg%M0fd6T$Wrn?1vk zD2$pYAe^r|S`oU8j?6A8^sb7V8B>Bu<@fSt1lzcs*LYaRrpAY>MCq4!PRoq0IBH z!!Q7>a@LDN>k;+4L`;Hv${GhYBA(z0anT6!xH3hQj+&x2@g&wa1w1g~!mci~E*Uiu{yqd5JFz!62*lW4bIoYf zU()y3iuCC#*BX~}U5Qn6uCjT1b=`Ez94Un)>6#!ph!YnnVxxP8_$z0-i?yapt{esN z0$l?Vtp~bTPjaUiAH7X+WSa64`E{iZ@&uNM`%#zd(S_n39J?= z+lD^U)R@#v2t3_c?Zdeut_RZL>-KIubmIc0@_(pPf{HpaQKp^(f>ZZs49BMu{rbaz z#_j+)&VA-VG)(9r;YF&+f`OZh&Nc?a!meA|I6}01hn0abJor69K65wj%25@!K46l6%aJ3~Xva8$JCxRLI+~oW-@+O6OrQi>`25j)xBAw` z_ugv##(Z{)+wB?CXbK!FhCC-(^w1dnIBABBZwart-X^oa1r!dFGKWM;?1Dmw?l`2N zK%zyix8;=XSh$ z$KP%DaZg{&RGi)pjMKq`SA1hAbeVNNtYr}cM465hni*u0%91UIlOSl(%)`zO8)ECf z#vYmPGOPNLo5g9e{2g+w9P9Zhc zmT*Q?*m0%Jrn!W}Qg+&Gaj3*w0R6RU!&&TuI6XEqy*DRd9GOSg^p*!{mFJsPCoJ?E zC?pe$mZin)I_Z$e$JRQK~jxR)TZs;8+VE9|w5+i7yg(D<*CsT#oSrP5QC2 z(7{zRW4Un%X^=u-)?DFC2SK=stdq$d&u*qwmY@XwSL@}~^;hiD*)DSsjR8)7K49Mz z{KQMgHVR1z7dUW-m4LIlG|`_Uo4;=untU_8pLGUPbmhYLODDyYHM>29tL*Y=M49bZ=#G=43^*2RxnxTnzQ z!0V43-HY?V@*UoCxOz*bS~k>ivGvCe;MM_HPy(X!1(tk!X+UTygQ(3lAU;=XVaPGZ zR?Ki(XxV{g2EWEP3DBC-j9Or#U6_Ko zZ-+(J^Mb(+P%sjoKUy5$R7uEc-7kbl0wj*jAkDsYSv?yj+pu%HV-Cr3y&S8T=D}=PCL902k;B3>q zFia_*h;qe#5Se0Q*BwBNuO{5(Xr=fv__HaFRIFZ~ehJHD+aX0*-VkRA3clOPq7k+%=3tIH2oc`m0HCg== zx3DjVgR4sbP#rJri)r~UNPLHg-1{d8nLNgr^H$1zlGcQ=Nr?N(AKa{qnv zK&!T!a9`WC+`AfUyZbx;TOrz+RQi$3?*6`cbMp%vEShyNlw=Aq93NPWD)_D@7MCyC?CLe4x$uw2Or5VrsKSrPx)J`J$=H{FZbBm zWS{xO8Lez_SlU19-f(sB^6{`7T`Ma$5lG4ABQZ^Nb@J5CD=%GKm9x(mq z2m2Kt`m^Rpp46oSE)4r}L7zRa`OLsn#*92z?iwG5laU6uToVy4H1LKDs_V~EGW?8>0yQ=taq_r2*FT0hnNf?6N8Kx-t`aH%Ghy_Cy6Qf!G+6#Ww zf?tPl`Wdgj7&hc2D@52uVnzy6(2JO4PBYwFJr;KoP|tT+xA4=ajPan*SD57BN?yobdDym>?80%>H;XGjOR z&uP>)Mq|FY1FDA4v&l?Sr1(z=H&k{Fn+6Y`Op~o_@a0lqfM_`B&L(_4>G@%fLEt#i z{q&%vzjxsHnk_ktA!wNz(c0(>L~Os9CQ6^B9~G{LROqJ9&_$+|<^wt?{Lon14_1D& z)p;lx;6z`5WWRVl@^$%dzB>QS*XTJ1t|jO{s(SrLRki8f_VUuG;l0(P_7W>;vhTb^ ze7?5|gV%gnVWscP7{-M`_d1{yAV)oE5(+Q`RoNIFZYo6?3n}yI>*udysJG8=<4^bC zDxyUL!SXbCR`rsiS)K;Z#XJo-sq(Yt$$Zj0nNON0^GWk$J`qn&T^~%FlP_Nv?9p8Y zVnRU?y~{u>&dZ9vM)d_)q0n}? zIHvg>!L@%d99-Za)|^~c_k;ukAjT&ge$~vDYv*Sl%a1Pv95Uh!(`$qbuHIgcuq7=v z%1qCD0(Oau?~n%tti2uLF0UMNwK!Yj{dOg5tXKZ0kT_e@cDF(w6W=-Xnqm#vgAHZs zbrM7omZ}tMo!yWIHIE1|cD`2IQj>fP!JF~yo;3jWwy4ryN;Qhbhe8Ic29^mcjIu_V zW~8z&ti|XVmeahTpfwFO{0WJ~L_eZ}=W0bdFkUB_;Q&Ix0!fG&Vc~XIQutggT3UIE z!NN%>zH;m(h0nDE8wo&#FIJT!$FYNbfj7oq?DGHbf8pk_37$W6Al#Sq=rv=fOIu^T zbE{gfTeZr*_D(U7>>dRLhNlZWdMw)oc*0GzACMwSncR5#7Vet` z^k`@Jq=+6uki)c07%}H|961*y6pD5^oG-@$(-%wz%cUUMMRHmO2hx4yB#@Hm@+57o zD&=c`wzYJX&>(f!%XhTlOB#X)WB=Nd#zm0f`x8t7EZ&-{lD`K$@Rq3oFX$>kQq;Nc zGSsC{bqkrg0soN%7CbY)ucc3IY{YRZ01%D)B7rseE~2)ZWQ8DnN81Q5K^IE6^JGL= zz#~;f7cA*Sma9l}QJ}|!r`Z>c(})TCG00BC)p$L?JtZQ^8`{J%UJ^GWzdl`#5yZ#L zI7dc*^O4THnhxZNH>YR6oe1Ap-bNiqoGX%oPVvsk1RBJRBy z$jdPEq|)DJD}^1pM`|4e0*07?g*&0z%!h#&i5?dEkFH-QtD3sVFMWLK|!YDnel$Oi+0v5nib)Q>JPsme8 za*;V(#~DXbY{}ol*a<(Bwj;&BRN@4aw&AZF4h4&<`-tIKBK+YX{%{z7IN~e^rryx# zI=wt{&2#{q&mxQU;?2EPPkJaK3lk{dCs9|5MA-+j)Qv9jL^|HNE&@A;lTPPXMkCdy zaj(oPIra)07U<|FVk%Y5VXATCdV$S{*VunAYN~2rsnSyFimXVr-sYI249!_YqrTD? zd0|qD7K`iVZl`;xk>P~62|}P!86-8@S({mON}IHJ9T%Y{QQE+y-k`@b^%fwh&dwlF zwxgsb-_OcY__pXS%8=a8k&JpLgGOm<3@6GHI;pQNkPBKYYhd!VTV#^e(9?lgB3MF; zrTuY1Is7Uyv^e;YrQnh(JrzNy$+CckvR9O_Bh%O}8e(nmlQw)>B4~_9w6w zMETP%Ow`1$pq!DZ#;YotWn{&o>~FlbII-#;J0H+~H6tfzT8=TQ?zjX|i8aA%d!Z$G zkg^k6jHuT;f{2yAm46z=p!_=0H@QGYIE;_>@Z=cQQ0vurvZOy;tOWbO?f@YeIKqNU zfE)yteGW6_;)lL4$HtF*aRXH7pnHEtS6&fd2IB6J|ALboB4c{|9qVNjEARlM2;)@&$RiPR*7>)+0V87=l%TWgZ$@w zE06Q7JkGX~&LCwNq;p8w&)HVed890Kwv}`)DGQx#Wewr`EOfS&blfKgjUzwV&-qs3 zus{|%-%1<-%0lnMmx4klg-!>~Pa=ri==nheW0cH{itk3Ha=Po1E@s#>-*D*>rI=!M zO>apz9%(Dgf0NmZE*aq%_lY}ZT>yY>9$(^+(wa;WY?lY8SLi8^HXVMXqkA?wRRnwYITOGai=JDiENZQpNf4(E?jFqZ4;VvIHngg2GwvM^|fvb?vo> zl!OCupuY$!8Rh`j;-6&o8*!&(jj1qf?6o!uQ}42t3?$DXuZMHs02+F2V%Inz9<%hD-2NhhRg_M ze)GW#!4+mS7${97xtri!ajc( z%9$m5dO0L%im`d&zxR+@x-%G0PGIYJ)8HsA3kC^!nB+>~uA7xvI!qHFj6_dYmCAW* zB+K>(l*{3;lF0(_!bqsG&HN>|W>1ZLRci>04#<*}MUib&5$<3`V@&UAeEktZRkA`cqHTLP1G=f}=Eb&nomSW`V z!kTp~oELhzII8*v3$l>=P$z{nX_2>>eZVcw2_GSa$A8?!_2k(DZD+zl$&Z~@83kMe zh1E49hB^iO$o`Zwe9QVaqZ}9x2?&-`LRm^E&xzl;mY>^tPhc|x+WcANQQqqo0w`>P_`jQ3$XXQg z!Hw^`1rLR-FeElJv8S}ayTu!9p`JoL(iS%CGF#i{Phyd8cv!=!5X>gtTRhxHgwD?| zp4rWk`FR#kd=BHglfj?i#Z_N{UM6hOe|kF7sGGTq+Idvj*;j1XWycmQ#Dw;+QSIAf z32kObJE&@%azfElHF^J15s$#`8EiE1Z)pG*@epN8BtH zU7=eaK?WPmyIx+q)r#7)&_SjzAt58Md{ znyID_%Rp-#(q^&hxnHet%+hRw`O=moNTDz z)Y5A;lG0XuzD?COI;D&LR3F*neXbsQ$6j1s1+sIR=Ti(RErGXCT0K=N+MdQ{jd_`8 zBU}@2!^_!Lgf=r&L?>7&Zy}ql=xm|XHwEnq_(At0`2jMCxZ~#Y4c)I-iK^?ufWT)< zD_GiZ(|hOnR>nb(E#w{zd0g0yzZ~rpC|z9J=H^GFaidpz9XbfM1?Sr9(5RRNC_4&m zxnW@AwklpStKK228)Z!83@rboq!(c#kIW2P*>sz4iH+%t0?4Z=ZEe<{5PPFUY6MO1 zv&<`$Io74!m!CfMz4jV(y;q3+eZbx%=Uft6JHx`7Wxq72d-1$~N7*@Q#aG?kq0=_s zj(Cd{n_pmi-}^`*LpFG6TZXp1!PWL6a0EjaEOpF=g0ff4%2Av82asQ2)4iDDY;M4a z-~`ow-vg(6Olm(Px4jf$I;_BhY?g0DPKCYfAM6f#i>L)QVE}@@reSG2Egc`eH0WzLmZ!A;nD(_U$58ccrGox!J|4=e$bRp#5F}yticf*$ z6qATcX&_(6j5R!@EWSQ%TdW|`K1`tk#%P1vBBxUHrNOwQ&$KAcjZ0e=h~0jqB!D@w z>+*y>S}WJlTY2`R6kFw9Fhq^h#lZ_)&;3?;5k%59oTY;awL5Mh8kGfY6NRucOjSyk z2W^uAOmsL1khaa21_MSuUytULLOW+X0)Rk;Jw-m}oKxWlB#^2vqhL!;sv$%uRhTN8 zo3c_hWr1zwBvvwQtx#E~%_LR1$GZrl4ZLTg>WaDRTQDNLA26J}fAp+9+>bnzIBwx9tU ztXs;Z__bSfuT~7HQcyRyPumrPX}sbss{Lyc4yIjm-sWf*5j<~cgk0n)Z$b4WOT#(I zTTuA-go1K@x3OTURIGozMeTaXkpF1>k`2ADyHH_mF<|uAR+yXnUXN1e=#p2yMI(Q! zL`=BaG2a3`Zmn_5x4_hW>zH=l`4$9z7jYiM6;3uw?cWrB>e+8{VYG5*u(#k}TcivH z*k##7<5&lo=ckm%A}s36+#gXog@}GZRr#>GN}qK zcZYkY8dbq-pbbOo=Q$k5x{{L~5X1=)=k?MS-J(Z5;hH7W2G)KNv1YJx%>7d#C?V;M z(-=}M#2jJih<}M_<{*`Pw7WxXZ=Y-e3{&jxr~a9@bK zMGN+nfP;GFM}DyB;SnHhHcncf1eF)JuG>{-YAp#t2}SFZo(%=kE`GO=-mek~SmYd2 zzb=C|h?+I+NQbN$;B6&LZI(6ph7qf0E-yA-k8i-#6Gw!(g&a$;x6s413#q}(^=75| ziRu(O2uMrF_+&+3V-pyqc3&uBDufWz`{gDuMN;!;BMdP;&7X$3k57_(-&YI~;cCF2 z-Tvj14h`lvKmvdGkZusQA0H+-5RMa`?<`=#oz-ra`?!mi-@_> z_T%7TMEBqjyW!*M2d)UaUHO75qz}iND`{19{@w18xzMbmr%RUzOmTI`u9!J#Q%Vq& zxv#Rz&tw{KB5V$dnB8J5w{yp45i#{CV?qLz~Cim-$Eim~#f|3ecGL2sp6Kf*_ zCNv8%d6{T`T?{It7oqzZ-T)i%2@bltT*!dHUyeri7I*_6r{mS*z})NKEv5_a>vHs= ziS)_Hm@}L5!K(Ebmw^j80S3PdNKG8$!gCqm`G~Ii2xJMrASvx5NYws6i-}_Tkd_4q zC0qc(gFfLNdLh4nWQFzM^U8rg3@z2-DsV|dD2a(jrzC2HwaKeXZiI-!{7W&sCBhp8lget^y zD#3b|;Q}{0%8+fPl$t22_04A@Rx7STZO1D|?lX5h+v$>_hL*W|^iO3XP;L)ek#=Gg z2Mk=*v28ZUz9Vxa(w;2o5ks*=uq75*f|O!2UKDe!GCmv^L&36~zGuxNf@vd4#xkju zM}6A)ZE-El8TE3ievJV#(J#k1zCwq93p>Cf2R&zTfqMVBsGp#QGX(KU88qGlH8RiV zpNF%Z$8SvIlUOb>`-jm(sc`jX*H=k&N4<1$60DS|v-mOm~r6=^K)D-{); z)P1&@$}z7eC~us3e1|Rq|Jx?qK4+kwe=>IC-DvpU_5Qqa=w}=A*G{vzZkRGXmyoau z21}WT0u4T&t$OAyfTx}RySPG9c4QjF%7E%xk1NR)neB-LtMA&u-p=oeskV^boSy(Gv&a#_{hfa=CfFRkMXC&XD2>j@8EapuIb%(I zvM96D*Q3FGvx+MucrKY_JFoZ*q4ISj_FgG=Ni2fvwrRD}dQ1GkTO5xb`NU2M%Oy_C zDPFmpN=#35sl+vEP46}2k5oUrbHOTgk?6-+0Ij$_@RJ75e&Dckv9mxc_KpwYs1Kz{ zg*Mx`5T(-&KkV0BG85X8HbFOpBI)IE+NGvr!(T`?f!#s~)+~1x%!3I&Mek7PKhx%+ zqVErMc@U2&v~A{z7(!B5*nBK;DYcujVT=&8^JTJtvo%n3%|0$)41)u3zEGpu18})g z9JlkIk^5&sN1VAYT$nIl?!tt=n9h4iV>MCT6n{R^W9f1{6XXl<7w5CE;m^1v+g=L7 z+N41qfu5BVa-0|afB9Ohxs+12xw$E84p+{mn06_cpRqhhzaswOD)u&A(J!F2bL;Vl zU(17{U={nKORHu zNg;|X=4-bEvc0qqR?;i(HXK?l!2oTWZo)iyDYg8f1P71S3XXvOrUaBMK95onnz!3j zfQhQC+3=bw`I%mx@S^Mq_Oikry5>iH_@iHPe>8v#KbDXvr0|Ioa|aL}g4yYb{6`Adj%8Fksl zV}PmDDmbUgOFE{#w39ul55lG$B-^5}3-a=GUX4DMcdHrIn&VyPx}PE*I+UXFVIV_( zGm%Xi<_z<*)>EPD-77tqY#F=-nri0cXpjqNXPRPIito@{BwN6mo7)=pUsRU_JiJ`Y z)_8gtlcNUzbrHBaAE)89Y6Rp7emg+8a2l|XVIGnR!~a~wuvIoqyHLol@%Ae2g=*M> zmcfwL*Q*&v-AIpT*g;0ajhmuGz%wg%Gl69%R_)+Kn9}J|K{1%RsXq%Gf{>c;Y9csB zB(I2L)yo2^M|4P(Krw1R%oZGp$u_W9G=xnXRa8sm&<$k!83`H+S%kh2R<=Uz6ou@1 z?U9BRcdu0(fo8b(A@7NeHP-i``W57_Mkqo?yb*odbbGxXrjj{ z0ACRF3*4Qge!a9TR2wrDg_7{n(*05>5y8|~iF zar6OM;X?@IgXe^mMNDt#-H6y8&dR$6&`I{N0nM3Qbc5RH)c~jMC}N;F<7-8t-kkKT z7!ta~=OE}Mj1o2i!@zk4i%4?Pv(bkJQg6Q}4$`F^rw`hI4(|tqByCtiVKLOqCLM}) za4s%sKPMwCs;#}m&IGbjYvaKQ%o!maOkUS=W62)1?9XNs90fh;x9%TCr*PsBQnFFo zOxe<%77YsJAnCVG+(-IDE^GOdshL7h3%)jI!}pRW#b1Ih0B~VxSB@t*aHjQYF~&Z- zWSRX=N}X=i`K!kcm;Rv2sTfu^_-@*=?@J>2${xuRFH>BBdgV+!j`6?Dfi{ z&5P=;*GFFz4}}2xe2grF5*Ej)nh@QTVS7F=2dd)YbiG<3-w=|`%Xq3KH0;Qz6_RnI zv2viX>2qN>-ge-R(}h4hqL>-Uz7Sh-mi^pBWXp0BQP2;2cn2oD|$z{%FC-Td^x(i!4-QlJQJ_k~g;uS-$m zNrtBwU8=Dgheebm9foPGC$Ey3L}hLg_Y^4;=EdOSY`v1496?fti@}=_vIW_lWRE2+ z;^LjZQ7ttH_8no5AfPH^im8XQM7$d;kRoX$8B+oV_y$mhYpI_~k=D!CBH*beFksq> z-VnBDB9r2`6&ayU>JwyZ2{>cr(sc{C%J5{s$r{s3b+QwkYEB2QDdO3A4dNRloJ6{M zlIbZ1DefsQMrbcfnXWKV^-I<|nJoDBRM23l!GNB#MJ1QJl%m?+Nd@Cu^>IS)kY_3J zcqtkOmO?NN?8hK2jBSY-^w4NuTRip%F)lUpl=nXi0B~R+E}`C%`%-a2;e$4q#zXOI#d>LdmZk{|S$7|U z@YG|N!1QWVyXy0(zEn(agWr{)XK-r!$(MuV3uR^mF@<%Md^wK4>{Ir}0BgU3trgFd zw8u?>8yr-=;%a0WVT#`^PNVa@L&v*OT(5RwjcZik;c}jXJPU4>LtCbWO>A@|!i1#> zuk*Z`bx^nX78|-Yosa|#CWrr^ zX3Gr6c@#1g$jurHw+Q-vjQB#_BSK$w8s8Gm5T+x&c0;#_O;Nwo*?M|!;?aDeIztUB zeSRB)9;BoOsS_LSJN8rZ+!8YODQySLaIzy02(k5%uW>o&r*lMb^#WKBJ(~^JSQ_w} zj)3O{@9X@km;{CM>cin^VimiXIaC-iH^YiL-w?oxmrHEv>}Ax^#{Vsm)-7USw|Jz6 zuxGe$ldt6SPj#dNUDRs3aaxQ`x9B&Ga?S#g(XcHnOp$UnIvu=AH)_#)c_gxeh)Z6I z1{tr6%l(`zayok1_upV0QU{o^C`7&L44yAW(}xv5YCOgoE#{6v0T_;))J9r3H9xb` zRVi?QNC*9+%5clCs3sZ$v@jBM4@EWDGTh+y1u~gg2F}O#{yj3$+*HLaaMb+NKv}@6 zRtF;-7yH@l&;mPKKP*RQc0YbQwk9uKQ7}co;oc$Tr6UgMOkS28b&w*LGYXc$E*|Tk zg9p}t3`=OzamlOcgffI-}` z;rT=kh2ulKB@LLmXTl!eGAUI7TmTxZCnj+NPg-~}Ae|bS99&oOb;R*nRE~qtsgz_~ zcj2V7mEW9BtOon@CUil*L}!>U4jP9^wkW#Ou}ly?DL4zZb7g_xIw}>3%I< zo$lA@t(~B*8ojj>exX;b@FlR697NpU#QeAj%bg`ptp(HFes!8!3q{})tVKGZG98}t z7q}rYGmD&bvBz*2WeqGmEF4T@)$D+EXV`DV7Fbq(L|)b)OScq~v7ed;u1BxT(glQN zC7_jEZWT8JTLSh@Vfx+_CyGqffTjfwP$LM$a!O-L68tHUGVsG?OX_HH({4KuSab3~ z(JL8b;bxW{;pr;FpkFqOVK2i@XK}{X$0Tqng441EqOjpyvrj{@Y86#ZH6Q~oVMJnX zEEy_GE9kCQ3L!bVk#P$$RZ3;4YaW9t$1PnG$G|#GNxJNaq0Lb%;w0-*q{b(v00ok% zm_mN68nf7l9VVvlkY4?Zhb2?qbZ9IcoEFU)wjvNE#sZBvvAzdF#I;MVYbgxzM@iG9jMQ!L3CmJVj;g$25_C&Rr+eVB8>9n}C5Ctg#>$}y`~C^^T< z(bRyHbgPg}0Qx0L@s<#oGYwfx6OhqrG+opOOvNl2XqU{NQ2%6SFOAr@_bR>+F?Y38Ape5;e{AmFt4s_edRuK@NVZAX45sPYrO*99*il-v8O1G=)G;SlZ zHfP3yMsm*fj9G#*k~Lw!GW!T>Q6;%RQo*1-2_96WuAP@Z%UM*X7&wuX6?#!0hyGz2 zixN?x`BoX6H;H+(s3DXD%Gln_ZG_ZEOt-zbTGI3li@Snm38wRd3g$zCLi-^oA9E_0 zxCs-|5->+H0w?lSS^da!dU>edV6YUx+7S0P@sfS;|tkCC+P;PlkWCQ z+>+{|;Z`DS>r~p2S;EeY=zz(*{Zsj}fJr@d=kE?EV zFk8nDl0#FH<4&Kpt=R-6vYf^>BF{sDj%&|!Mk&0?tYw*Cusg*lq<%BpwTj6b4|S8t z)sai7#UjdaPH{DP`l>{2nq?YgkVrwvb79Wc~w$R|ALTGS#ZEq(2ym~bpA zJw1giY|`$u4>w8o2T3DNpd@m$hO!mMO+2|-j;<+%bANU*dK;c`2t6d>oMtiki-TdJ z3p!5V!Ayu>K+;}6*?#iSAy`8{nly9_#6oQ0M)NZc#$B^$=AaMkI&q#k=c6Mz5fUvb z3b6Eq?Xctk2m9>iYJJa%n>^(f4wfsWv>AuMLd8QkvmUpg(A&)s<&vu6L9P2Uk_$jx2(PLgFvANM=H>{? z>-Hl<8=;7cXoQq#z=oq?nIMH@bh{`+#wqd?HlC}~^I+V@EylPu4eB86CPS*D{2DD* zIc=h2xcuPIrch&SrJ>=j)&cIC7BLzttnNl#?e@y1M|Da8a~YXFN~7&3nE-4%WzlX| zh`v!%bR;Q2-9k-b!Nwbr2e=gz!W`mSO#CHYJRI)E8#ZU8zH)7B6YZhDchvxn?=htCsXOb;!BBp-?BcitRQ_@T2NJDYR?FzvTvV@vso%?2ZaYp7h~fiMftq?qzlO zIUJL1$IUa#q5czbP>d)$eKnRvXLZ+H z_Hd6B^1H*N4Up$6;Q8hL$KKr&;7}RWo2Lkq%uz&0X4i;&VxI+RS-f9 zI5~C^4IdeQ3KnsS26sQzqVHTuIh{AeT0;gY zSh~B531|gxdoo+NZ`9tbhm=?2?W3kTo*+YwLU-dKxn-M%P`bCKaJL^xx(NvjTU;4S z56EaXI@_FY-#~(dA(~m7?I*R+iVJ-JMDM{Q%}sA>-XxQpqWk&R1GVNQT)=#64kV{b zTg4br2(E*^Dr*LyV{iP>y9Pp50b~wUgjH{QX*7+Kgmf}DN5Ajek!GOzp)bs(pb6*y z+I}22s~yBqvf4o$C95685wQJA9BtdL#B}zNcPOlVzY<5=_A7C;ZGSKMQcJLEDNM}a zK{e**pc?aYP>m@cBo`bGYH>@EAs}kkL6S1y0M2>X_5-|b9DO;C`{J+`x8krCV;v?* z1P+lzAf$Yl^zz|;%+Dd-e~GbT`5f-Y@;N+;c|J;_wMV-ZWTN0lqeqM7Nz43of;Uk( zfyiM}bBObes_S|J%FP z?lzC(%#Z!hCXJJ{NqkA{ShgD{F#%pA#!fT!YlOZVYl7ONY#}65HCGhCXa_tnlb_!j2obeJVJO__6>6aYeRUT(jnjGKNPLA(tr@*(njHc=3xEc>j z6nf!YO7>R!yFxN19&XmWoa`3trpezC82PN1>8*u(DrUd+1m18Y{01xHLi{E_c!dyd zhT|A0$39gqG?D z`XC#k-xWxqhItFtXqvS?T& zI1ocfsp8w_VEhcxb~$##4dOF-0%_Uj6Xj)}Pv%Lf9P*3d4>v;H97=YQ5gvR%mFG7{E; z4k!32@wXeanQhi_@hZ=xe9%oO8$QD_;JKAdqzqBG0~JG*C}Z|XL~q>iL}hX!m|ke1 zv$7oJ17*IPT+OdX=ai$#>7BUPHZ{&lP`9A+;os7{LMBN~XU%|Ku8a6WYC~ajBJ`6; zL{OjNSB3`$t0Zt3&(f^(6_sGK_08jtnz*C=JH0~RkWb_y5S~_sIfiakz*2_e$p&Ur z?apL&c|LmOUMaYNox6bnqmzA}hejvSc>0?berMFZe0kHX9P&!vZh-OhM=Tt5j1h*{ zbjxV5b$w^<34oiWFB-|LQNgZ%=uJOd)o74AnY=$)+HDqT#y)Bc<{+)RUKfZ+zRQi$ zdM{7eE76OCnRL*|@x?W~Olh2=nwm@&EYt5BWum=M8Au7P{|TOps26JHZdkatY+iQn zWz<|8KbU^dEX=P?lMay&VPTOizXbQ^IFo|eMxGyzuFepMoM+(7=NY~&Q6UNefGBZ2 zXrVRNy19zrw%hsat+p zSsKL}g&B!E!X{ft+0FT~yTS_<3U?kxbD~=52nn%i71wB^>dLbS?>g4ANN1cN!OpGG zlp}>d|4`1}Gy6Sm(vgZ>(vI}7Q7C8$8dHy#O#>~qk|H=(J1Z!q<3cW zp`OW&$54bpJV#VAYRvbe*9emaAPewg&~{u8C9ToUWVTv+j6JRVOo-h<*)<$Vx`9hL z5skuzCPFaso@{P$#WGPAB8)zCnfZ4ODgb0^D)ERJubIoeU%YIWHh(T|IsDwLcja*M z0y|C@o;Q3ydOo@w^A6}o^y-YI=C7Lwrpxx)wJZT4nZp;puSGZG{M#vI8phA?k!x6z z%?UmxabUPVz8Tx#<}QkFo=AmAGGQJm6HZ7-85s(09fX=G?QnDv2aOk#6G@h#M2!<* z{0t(<#FN$GC{sf!L*C3&mFLZb-tbT(oO2o4hxoj34p4$|06_ zU@-oJP@ZA#yp-dH<~i%6dGvyw)DJ8lUCgXy>YBt}`ucDUpq-Y&L(;4=*& z&?J={K8IHZB35%(g93oZErUY?o7k{Ym#4tNoGPHm-w|?)JxI`UqP+O3EvJv4a^y8{ zxM?6tx_-i3wyG%EM}&_=llKJ1LT+_Z17PJ--9pjjP5IVzquYzCN919;)IN^_N9LgMs&cAX z49HBb%au&Lw&b;27(5XiF8|U^+N{wgYO`_K%!;$6Y;g~848DUcN3~!Q+Z@;5EjWw; zfw@ilj9Zcs3N(|~ir*`QfPyb~-I(@)bJ%Di7UM_qBw!JnJcJuA6=k(&HqX+*o#MjOVy+P$K9DQ@CU7m6@o%4LIlZStaF`ha4TSVc#gKGG3+jjsbEe?Mxk@pa(z z3poy@U&zTR{X&jI=@&XVPrs1kQ1S)Wf%7lU9 zw-sz>hiV9w;NlM{rM3N11wBZDa3{YDN1k+@2()j`Ti zo`Oj?;NW5D7xENLzZ9K^L#3xQMTh55=_yUo;W>DEN>g-r4xXOSaPai>OQAFS7N<1i ziJ5*WbY_3(l%~*`J&9AALT7jqFGp2rZG$btOKdyx9KMUjQ?^ZAyn_m&qp|DPrK;qX zWlf#DsiT+1P-Z(=+Wh@Y+CDqRyPLor=Wr=pqm4IqmJ6dwG;hZ%>mW7|q&><~EIQD} zozb(R%-h#jv#AN1ls?Pr)0Uo>U~$|y7hAO33F8VUnD9ue>-K!rKJx9yKs$M)flSx$ zz{TNmR^*N+juYs%vR-s|BB z*-o?+n4v+Xtt&k1b~ZK*Qy2pZJq)Fff>j#);t{0a<`dmuWGcBoY*IG%+=vItBAd2V zK_etI-tm*#-hXA17$u9`Xh7hgAdKGxN0kkLgE%>>q$|4Z=ueQ_waJd7w0~@;0MCkd*o*6znjYI z)~>I=Ce4O~jbcdHSW9ix?)0o>kq{4>?oztq6P*#yi_IypsQY zXk4CA&G|_PclF_>d`C_6&oQv9o-?1meLfNQOIyG{-0~ur`hOWG8GFLEmlM^ zJ5%gi&A}u|!3BqSP2+XSiT)<3^beY-HHLz2 z@m)na#;tcdO9xGYxszLk?6g&GuQNkep2aGhzCzz9bT|Ep*Ag@ii2L`ra}@$m(e@~x z_@(JRLevspxL04x={+JYmtW-!9g!d5O2;=bSmX=dQ1W)W9mNTc2fU)}Y&tYXjv9E` zaUD)wy;+O=z~(FSmCKvyygQjpm&&C;RJ`Mh1#(*&HkCLSR>`xYs&Vo>GY^mIgEoqnAG6julXh1vD zxPR8*6xO7XYTNtKXe`7b&XC8A@T(@3{y{VGf41iN9j3&~R^i|G)L8Bkq8g!9n0-V~ zYM(7lg5pj!4+zxJ|EsCU)Xfj@7BDxc?y41s10izP;>0I^BbT~KXCqBx+c}~Ko-|3v z7;rS1k8d#mWG@6FgWaYKcBbTg=GubX%q8hW2tZeh1c0( zH?Z-<#KX7fnbU*I@f8y%2dkzh#-&8PaAg{!7=<(YyipI(GrRLlr3Un}8+rF8sfkrZ z%$&)OE=Q+!r-rssnkF-a-R)2D=&fAoX58jkIx__>hiMLe9QKdI`%6^%8ZlT+tY?;O zI+3)VG>)=(LSs4`yQjsZq1DlmpD9cW=l4hE$qliDvG0)^TCISV(dVP0YMtM#eNDp$ z*UJ`%2#0f4=ly=eT*gCWRy^Kipr{16ydAVSI6~J_PY zq%c(2@D%)s{~@NAu{SY)6}P8WGf3H=UW{8slrsvm7=4pa&O-jusyXEEPgK{s>1r>& zsgXFvM~l7nJF&}TdC4g{Sd;EJrM0+vFF)T}Eoyp=IBzxpF9{%&N;gK(hoyIXMN?~Is#f1lqMc~PI?c{{srk^j8OHk*JZ@e`^}OV z9oN1;m1=<)Vm~dZ(Kd3atCCdE$x_#Gu9+yjhYJe##~e|dOz*k$dkJcNYzWRLPj|@} znNm{3s-H)aW6IvkoVF`TWk-~pauv5rg1-HW+4ySSySkcQ<%H{!NOu0oDevKiM-X|; zCriVru{)v%A&7p)0iUlJw3LIaeK~y>w_8FEgURW0y0lVP9+9u&mf;xaSdkiOE`D94 zJ{sMOCF*-=Yo1Q`Mi;O6=GrV1jG$O=M0!8^Tmrh=#TAuMxJRGcn$BgdCOyNG8RyZQ zcs*(Bk3KiSImS9KOQ{o1A+#1PsiJO;HB0N_ZcVb%}6pZ)Us)ofEFhgp<5=!3ilIZs=MK9hiMfb3i5&zDRLHq}oPD$MVUkk<)i^ zjUd!jpohAc(gt*xnzO9dSSAdkK zQhCd3Ak8Ugv2Z_la`hB`bo9$~gkSy5%QB7nZ^;n77lIL_OvjC5fBI4pfK{fy9i~b^rR=Gh;uyo?YIMN0Xo# zwL*kbY0d;kumUUeqky=`hJd*|rTw%(h8z+yTmTfPAZ%+!bOz*)D>TeWZNQ91hImaP zMz%qqxHl0&H{;iE8r!KPGnELYW4=F`zc6PziB-;g#$y&j`5o)tcs_bIF|Wp7E!bq&w zGeo!(gmcBmWViw&5~9gbDD@FKfPec2!(rLEJ)!l+!E(dJ&|5< zb{A_?e>}Rxd9*wf|*uVmaw9J9)MScxyPF zPSc!L5gItjdKK@uWgk}Z53Biywfw_+{^4%^;a>h>Bm02Gd#VS5(`FxXO%a+lqsTRd zGet&`Yl`sKDFqhS*@s*YtgJJNTn|LP&M0y{5cfKxDD=n?>*k1I?Y!k1%~0+NX2eI& z^{a|J3fF3z2>(Vfww*p?&E0k`j#$Bq`IzyOHbxp1=?zWiL(^S=A!J2k>;%)0?+g(v zZN__fT#3ErDir>t?gjyK#hmS3&np;D{mS!QnKbrMJ(o%TK?mN)4Y|3a&D;7(!D|1v z2C?7wA$O&M(Ei;+(RXBRFzF`;o4>1)g?WaTU1n1Mb(NgwEJL&=6QRL-qGX`A3&;;; zHfZdpL?izrnGo_5!oQzlO(C{YR>K^6@?xcMl!F_I$Y`23LMxtM&up~28!Y@qTBze3 z@um$l4`B19VomY3QB6+X?f+1vG|Lf^*R8Bn-mmiRA%vlO;HJ`gP^Rdhh{9&qR4%Ul z*GtF71^i?B$P^n1QRrj;?%5MqNxz{oiigX8lGzt_*{)=dGfiIb9a3C}29NK1wd`Bp zzWubuxyTbQRc6|JMom3|%)LWcg$%ZKWA*1_+yZjPUuloqdpv;mT;K^Y?`GcJF^q`S zeAAT$E}m4tH$$00frJ(Po$h}4MrT*FEeCmT3Jl(%G#V1DG-J&T`!{+xGS6{wPt&Lq zTlwgjMw~w>nPT5j>4x@9GToP9@3>pZ8 zvNPCv+#mM#j)sHd?IA1!InSaOCrZ8ruWdx_$l|-`=o3xMmNTDmmy6<{?iwe?cM1;3 z^c)mzC@*OH1Xs$$@|QzHQALowFPBMU{~SA3N|!fbc$isWaxc8eJIQFGv3NN>qOj5Q z_(d)m5ID&<2-=Y+L)-Sbugw1P{;1SdR#hVhJ>jAd)sy_Pkw zz%Z6c$@Xg$nBW&)5y@KOl$5L`iR_h)Y-6{wk?rGF@Z5UBLhqntA94yDJ){(PDIooT zH>7@Wa&kQzKO4cn`h0eBf?*C;)7d4WjBJ)gdOIXaW#VLm`SIZb0{2(Ccb7APZwUgojy|{9NkAU%9v|7{ zUkl8`uMc}CpYI<$b}IkE6P5KCa+j*{$HrFGJ;Cg8AE@>B9~^iC*74Q(i|NJY;b8pY zKHPuFITj{;w&ra_X}ElS4S)DoTXP(iKe?Xcd8T&^)yl~LlTmN`c+ekyeX=zi4*K_x zhrJ`%)!0O~M%j{dm$S15M$(PVv^PKXOor#d!C-G|*c)zp17qJfHesK3HJwjSr{}Ej z8z!qxpQ7PEn4HtI9xi;M%f>O7WFo}4$>n5V4hILrgYAP|wC`bWu(LJX!uwNP08XY4 zjGN=>_byJSbbw^!!A3WqUcJJpHuJ2zTqz$`@dumuvz)U#Z!Q1GX7UXn_=!`q^#oRmAOTUrp2K)V4t`@FFtz_^KEK=_pTYHyK0BLe-<;E+QYl|#aoqP< z_UV~U9IelhL-+`WK86`CxmTKmyJqKcCD}wuiA?@OF3pB-7yflK|HU_JXQcKi4wAui zIxqQLYQ60p!4wuWb1m}-TOfKWqR4Le{EEW7d^>5`=J?58gA=&vWogWc_e{bBE` zAu7V<``44Lqp$b3Pqw#qcY8fdfi|Jv-#ID!NStUJEFjLmW*x)p&N7qU@r#5v?INjM zp`ZLLVU9aXniBVzRF|aNyp@Q{`;G^a3qfV4hJx-L7v42%j9AiV-@D!wlU*m`E+$KG zBp9)!3|umIwzND>HP_>00ZF&3sbKq_EWxS31CB@Mh+0z#8ht+UpWjHzbU;HF#>u#x z{LQ2IPMPL%X9xvemyQX!kn7SIyoPixS=x?RFNds{t;I|bn?U}4qE@IgI~>_Tt%b@C zS|5qBq~XoW<7z5$&E+LjlDuDuImcsW+Ck%i(~nHt&!RQTdx=naMe)y@d!x&VTQ89uC+W<$8jL{<_x_{KOdhR!Z@V-8LwZ{$KiSNIe?k<`O)V%#!qaf}2Vt z*4inU`c6uX`&ic$`p=I0lq=)$~lK(j(WaS{EKKt*9T;;b)Ei3WD4 zSOc7xd$Hs@7c-Q)UY=ri;^~buXv|@zen%t^pUn zq?z(-zb`Y_-_B zn4ykl;}^K&;=PR|=ODOMt)^^r;Q~GuZCu@y3rmHoc^l7i%7eE)+c+}a24g%lb~;8e zsmsdcL5T_=n9Dg`RoNb->g|oNW^H1+lqBJR z@=3}<)zZw+OBoob296p|nHa|DHN?>S^0wLUTwhY-K+mbQ4~mNA$p>%}znZmh!0hH3 zj^=PRY|em(dI~7*nc!;rbo%1K)s!C>s)6xwVCX*^V&6$6m`W{d-o>R6FP-ib;*VN+ z(H!aBdW+9sa`t?2>b(i#b2dnZYX^qy`H8j9$TQ5?7eZ=dsq-((4;d zBWZMlqkE^wdj)5-zNB`buE9d@0q3+B)NAB8IR0gz-xrltuoMXl8qi>9SI2ey}9`*QMqJ0waI zaKxKTQ#=#?EbtN%SjC{oFm26>>hAivcQ8J~ZvE=jX3zbG^Z2oOEXD2kUCQPVzu{UJ zFaDjiB1xpBs(qK$e=h}ZdQ*odBFWzL^%Fd&Yqg^9MnCV`=fC(g*t3$4{fh~VY(_pLw# z9S{!_and3+)+9o6*lUG}N~}t3!QXrdzQ{m*Z>2ijZ_7c4RfR~YX8OI2Qg~ALj0x};f z+Q@lO;dG-wZ2*NUPLidgmtjK@h`@y^6JZnEwPo~ArwX$#oaGZu3}}yGlWkcQVU_*o zDr@iMCDuF!-x>xGAXb=(KiRZ|YtWfY9xw*i@~rfK%Cd!1)|GL(k7LGKhV*EOfG=g( z#3r``&h5eZ)Od$U&EP3^K9%em`S2W*z{dHRq3g6dKy=*l%@NAoiAwA!YG5JAK}`#^ zf4;+3W9_)Gz(Yq_SHn@%WGy{xRHMcws8FqYQlTcNA$z*TS7WW>mXH~59v_ayeiYaRg`vK0nYUc>E6;_SHCAWj;fS)RBIzy+A2>nE6o zc#64^doRx>y_XnZnM&%uaN7~EH#1mGS$TGMVqh}3K%Cj5P)|s#;T)tf`HR@<?+9y@qZXao z7HgtVX=4&=*|-*-cb?*IneS-co)HUJpyRh8)wBi^3-!1~C$l=ka5^5DN9={&-n@fH zL*xA~XqOHf1oJ?0>q;FiBJtJ$Y_>^lt=9W(5*Db}RVI3;pz1{4J_L}acFK0STUR4XSPBB zJ5nVDS}wFe+^h;%bd7jWmGGKv{If*_R#!yFi*Vn*egCm4cA?f?FViAz`=e^+dCPY3 z4??TJ2K#xRf>^o35TAr!s7t`X}VORNCY0RRJLGTQ6+BXcc$s*}vW=NyFA9O>+Tnt<8S5fUma_9JI^3wT|^h%{sP1 z)>6h>>+`QvMjnL7UvU*dH1aQ9SP^O+n00Pz#`PleB+%T8u`O+$PhNX{`DII~*x>sb zJCbfBHyX&{kyzWaPv8|A5RQ1FcxV+0KY~_eL~ln`G{XX{sv1s!M9pZjIbhG!ilt5D z3h#4CTonF8WW!Y?``V$z2D6^X#f_^$$9PIF=FaGb`3)ksg$xSH2;Wbe=-5B3L7jNE zDl)Om&Z$&{;ghD^%_@cXNw!&oFSj!=hJj-x;t1=3;42~O6}Q}k$(wfW$zsuC+KgQl z*-^jQkYz8j=KF)py3lI#W0@DHA=_-jgM%x*_-iWSZk5=K1=W@I9hs3%GQ#@$2DZ}B zexn+A&CNjt(MVcV-*`fm6$xrfZAr3~#!IYRW}`3Vyo0$V9y=0lI`XKE*Hd^fiinc4 zOkTZH9H`ZZU$1)e9Y;JpM`c)a_xN_C9u%duKpZ+Lu5Opib=?kb+<_eHqFTk92FJTd z6YC45iTG+&Dz&V5TP>(?`=%N<1SfEz(L@4ckrC`Y0gSx$ycuXDOAPchhLwqEiLu2% zz;9YSc9!%%ML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs* z5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*` z6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9 zKoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy z1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eK zML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL& zPy`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa z0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs* z5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*` z6ahs*5l{pa0YyL&Py`eKML-cy1QY>9KoL*`6ahs*5l{paf&YI9EN?9>{nOI_0ais% A5dZ)H literal 0 HcmV?d00001 diff --git a/outils/CE explorer/unit1.cpp b/outils/CE explorer/unit1.cpp new file mode 100644 index 0000000..634595b --- /dev/null +++ b/outils/CE explorer/unit1.cpp @@ -0,0 +1,273 @@ +//--------------------------------------------------------------------------- + +#include +#include +#include +#pragma hdrstop + +#include "Unit1.h" +//--------------------------------------------------------------------------- +#pragma package(smart_init) +#pragma resource "*.dfm" +TForm1 *Form1; +//--------------------------------------------------------------------------- +__fastcall TForm1::TForm1(TComponent* Owner) + : TForm(Owner) +{ +} +//--------------------------------------------------------------------------- +tree(AnsiString file,int offset,TTreeNode* rootnode) +{ +FILE* in; +#pragma option -a1 +struct CE { +char checks[2]; +unsigned char major; +unsigned int checksum; +unsigned char compressed; +unsigned short exports; +unsigned short imports; +unsigned short sections; +unsigned short starting; +} myce; +#pragma option -a + +long pointeur=0; + +if ((in = fopen(file.c_str(), "rb"))!= NULL) +{ +fseek(in, pointeur+offset, SEEK_SET); +fread(&myce, sizeof(myce), 1, in); +TTreeNode* currentnode; +TTreeNode* subcurrentnode; +TTreeNode* subsubcurrentnode; +if (offset==0) +{ +fseek(in, 0L, SEEK_END); +Form1->TreeView->Items->AddChild(rootnode,"Adresse réelle: 0x0000")->ImageIndex=31; +Form1->TreeView->Items->AddChild(rootnode,"Adresse : 0x0000")->ImageIndex=31; +} +currentnode=Form1->TreeView->Items->AddChild(rootnode,"Entête"); +currentnode->ImageIndex=10; +Form1->TreeView->Items->AddChild(currentnode,"Adresse réelle: 0x"+IntToHex((int)pointeur+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(currentnode,"Adresse : 0x"+IntToHex((int)pointeur,4))->ImageIndex=31; +if (AnsiString(myce.checks,2)!="CE") +{ +rootnode->ImageIndex=4; +Form1->TreeView->Items->AddChild(currentnode,"Type : ceci n'est pas un fichier CE")->ImageIndex=1; +} +else +{ +rootnode->ImageIndex=2; +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Type : "+AnsiString(myce.checks,2)); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)0+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)0,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0002")->ImageIndex=31; +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Version : "+IntToStr(myce.major)); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)2+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)2,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0001")->ImageIndex=31; +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Checksum : 0x"+IntToHex((int)myce.checksum,8)); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)3+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)3,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0004")->ImageIndex=31; +if (myce.compressed>=1) +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Fichier compressé avec RIP"); +else +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Fichier sans compression"); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)7+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)7,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0001")->ImageIndex=31; +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Pointeur exportation : 0x"+IntToHex(myce.exports,4)); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)8+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)8,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0002")->ImageIndex=31; +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Pointeur Importation : 0x"+IntToHex(myce.imports,4)); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)10+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)10,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0002")->ImageIndex=31; +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Pointeur sections : 0x"+IntToHex(myce.sections,4)); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)12+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)12,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0002")->ImageIndex=31; +subcurrentnode=Form1->TreeView->Items->AddChild(currentnode,"Point d'entrée : 0x"+IntToHex(myce.starting,4)); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex((int)14+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex((int)14,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille: 0x0002")->ImageIndex=31; +Form1->TreeView->Items->AddChild(currentnode,"Taille : 0x0010")->ImageIndex=31; + +char imported[30]; +AnsiString imports[30]={""}; +AnsiString exports[30]={""}; +AnsiString sections[30]={""}; +unsigned short iaddrs[30]={0}; +unsigned short eaddrs[30]={0}; +unsigned short saddrs[30]={0}; +unsigned short ssize[30]={0}; +AnsiString libraries[30]={""}; +AnsiString alib; +int i=0; +pointeur=myce.imports; +int nblib=0; +int nbimp=0; + +if (myce.imports!=0) +{ +currentnode=Form1->TreeView->Items->AddChild(rootnode,"Importations"); +currentnode->ImageIndex=14; +Form1->TreeView->Items->AddChild(currentnode,"Adresse réelle: 0x"+IntToHex((int)pointeur+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(currentnode,"Adresse : 0x"+IntToHex((int)pointeur,4))->ImageIndex=31; +do +{ +fseek(in, pointeur+offset, SEEK_SET); +fread(&imported, sizeof(imported), 1, in); +imports[i]=AnsiString((char*)&imported); +iaddrs[i]=pointeur; +pointeur+=imports[i].Length()+5; +alib=imports[i].SubString(0,imports[i].Pos(":")-1); +int j; +for(j=0;(jTreeView->Items->AddChild(currentnode,libraries[i]); +subcurrentnode->ImageIndex=13; +for(int j=0;jTreeView->Items->AddChild(subcurrentnode,imports[j].SubString(imports[j].Pos(":")+2,255)); +subsubcurrentnode->ImageIndex=3; +Form1->TreeView->Items->AddChild(subsubcurrentnode,"Adresse réelle: 0x"+IntToHex((int)iaddrs[j]+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subsubcurrentnode,"Adresse : 0x"+IntToHex((int)iaddrs[j],4))->ImageIndex=31; +} +} +} +currentnode->Text="importations ("+IntToStr(nbimp)+"/"+IntToStr(nblib)+")"; +Form1->TreeView->Items->AddChild(currentnode,"Taille : 0x"+IntToHex((int)pointeur-myce.imports,4))->ImageIndex=31; +} +else +{ +nbimp=0; +} + +i=0; +pointeur=myce.exports; +int nbexp=0; + +if (myce.exports!=0) +{ +currentnode=Form1->TreeView->Items->AddChild(rootnode,"Exportations"); +currentnode->ImageIndex=14; +Form1->TreeView->Items->AddChild(currentnode,"Adresse réelle: 0x"+IntToHex((int)pointeur+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(currentnode,"Adresse : 0x"+IntToHex((int)pointeur,4))->ImageIndex=31; +do +{ +fseek(in, pointeur+offset, SEEK_SET); +fread(&imported, sizeof(imported), 1, in); +exports[i]=AnsiString((char*)&imported); +pointeur+=exports[i].Length()+1; +fseek(in, pointeur+offset, SEEK_SET); +fread(&eaddrs[i],sizeof(eaddrs[i]),1,in); +pointeur+=2; +i++; +} while(exports[i-1]!=""); + +nbexp=i-1; + +for(int i=0;iTreeView->Items->AddChild(currentnode,exports[i]); +subcurrentnode->ImageIndex=3; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex(eaddrs[i]+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex(eaddrs[i],4))->ImageIndex=31; +} +currentnode->Text="exportations ("+IntToStr(nbexp)+")"; +Form1->TreeView->Items->AddChild(currentnode,"Taille : 0x"+IntToHex((int)pointeur-myce.exports,4))->ImageIndex=31; +} +else +{ +nbexp=0; +} + +pointeur=myce.sections; +i=0; +int nbsec=0; + +if (myce.sections!=0) +{ +currentnode=Form1->TreeView->Items->AddChild(rootnode,"Sections"); +currentnode->ImageIndex=12; +Form1->TreeView->Items->AddChild(currentnode,"Adresse réelle: 0x"+IntToHex((int)pointeur+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(currentnode,"Adresse : 0x"+IntToHex((int)pointeur,4))->ImageIndex=31; +do +{ +fseek(in, pointeur+offset, SEEK_SET); +fread(&saddrs[i],sizeof(saddrs[i]),1,in); +pointeur+=2; +fseek(in, pointeur+offset, SEEK_SET); +fread(&ssize[i],sizeof(ssize[i]),1,in); +pointeur+=2; +fseek(in, pointeur+offset, SEEK_SET); +fread(&imported, sizeof(imported), 1, in); +sections[i]=AnsiString((char*)&imported); +pointeur+=sections[i].Length()+1; +i++; +} while(ssize[i-1]!=0); +nbsec=i-1; +for(int i=0;iTreeView->Items->AddChild(currentnode,sections[i]); +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse réelle: 0x"+IntToHex(saddrs[i]+offset,4))->ImageIndex=31; +Form1->TreeView->Items->AddChild(subcurrentnode,"Adresse : 0x"+IntToHex(saddrs[i],4))->ImageIndex=31; +if (saddrs[i]!=0) tree(file,saddrs[i],subcurrentnode); +subcurrentnode->ImageIndex=2; +Form1->TreeView->Items->AddChild(subcurrentnode,"Taille : 0x"+IntToHex(ssize[i],4))->ImageIndex=31; +} +Form1->TreeView->Items->AddChild(currentnode,"Taille : 0x"+IntToHex((int)pointeur-myce.sections,4))->ImageIndex=31; +currentnode->Text="Sections ("+IntToStr(nbsec)+")"; +} +else +{ +nbsec=0; +} + +if (offset==0) +{ +fseek(in, 0L, SEEK_END); +int size = ftell(in); +Form1->TreeView->Items->AddChild(rootnode,"Taille : 0x"+IntToHex(size,4))->ImageIndex=31; +} +} +fclose(in); +} +} +//--------------------------------------------------------------------------- + +void __fastcall TForm1::Ouvrir1Click(TObject *Sender) +{ +if (OpenDialog->Execute()) +tree(OpenDialog->FileName,0,Form1->TreeView->Items->Add(Form1->TreeView->Items->GetFirstNode(),ExtractFileName(OpenDialog->FileName))); +TTreeNode* node=Form1->TreeView->Items->GetFirstNode(); +while(node!=NULL) +{ +node->SelectedIndex=node->ImageIndex; +node=node->GetNext(); +} +} + +//--------------------------------------------------------------------------- + +void __fastcall TForm1::Quitter1Click(TObject *Sender) +{ +Close(); +} +//--------------------------------------------------------------------------- + + diff --git a/outils/CE explorer/unit1.ddp b/outils/CE explorer/unit1.ddp new file mode 100644 index 0000000000000000000000000000000000000000..cdc0ee8c2b54a98895d906932c4351134611c8d5 GIT binary patch literal 51 zcmZRVaB=ks@bJ`g@pN<#a`e>;@DB=c^Y`)e=VxFz;K0Pl$;KI)SCUzhlbT}4#=r!G E07AM8lK=n! literal 0 HcmV?d00001 diff --git a/outils/CE explorer/unit1.dfm b/outils/CE explorer/unit1.dfm new file mode 100644 index 0000000..682f200 --- /dev/null +++ b/outils/CE explorer/unit1.dfm @@ -0,0 +1,1434 @@ +object Form1: TForm1 + Left = 293 + Top = 172 + Width = 688 + Height = 496 + Caption = 'CE explorer' + Color = clBtnFace + Font.Charset = DEFAULT_CHARSET + Font.Color = clWindowText + Font.Height = -11 + Font.Name = 'MS Sans Serif' + Font.Style = [] + Menu = MainMenu1 + OldCreateOrder = False + PixelsPerInch = 96 + TextHeight = 13 + object TreeView: TTreeView + Left = 0 + Top = 0 + Width = 504 + Height = 442 + Align = alClient + Images = ImageList + Indent = 29 + ReadOnly = True + TabOrder = 0 + end + object RichEdit1: TRichEdit + Left = 504 + Top = 0 + Width = 176 + Height = 442 + Align = alRight + ReadOnly = True + TabOrder = 1 + end + object MainMenu1: TMainMenu + Left = 216 + object Fichier1: TMenuItem + Caption = 'Fichier' + object Ouvrir1: TMenuItem + Caption = 'Ouvrir...' + OnClick = Ouvrir1Click + end + object N1: TMenuItem + Caption = '-' + end + object Quitter1: TMenuItem + Caption = 'Quitter' + OnClick = Quitter1Click + end + end + end + object OpenDialog: TOpenDialog + Left = 248 + end + object ImageList: TImageList + Height = 15 + Width = 26 + Left = 280 + Bitmap = { + 494C01012C00310004001A000F00FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600 + 000000000000360000002800000068000000C30000000100100000000000709E + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001000100000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000100010000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000000000000000000000000000000000000000000010421042104210421042 + 1042104210421042104210420000000000000000000010001000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000000000000000000000000000000000000000000000000000001042 + 1042104210421042104210421042104210421042000000000000000000001000 + 1000000000000000000000000000000000001042186300000000000000000000 + 0000000000001042000000000000000000000000000000000000000000000000 + 0000000000001042186300000000000000000000000000000000104200000000 + 10001000100010001000000000000000000000000000000000001042007C0000 + 0000000000000000000000000000104200000000000000000000000000000000 + 00000000000000000000000000001042007C0000000000000000000000000000 + 0000104200000000100010001000100010000000000000000000000000000000 + 00001042FF7F1863000000000000000000000000000010420000000000000000 + 000000000000000000000000000000000000000000001042FF7F186300000000 + 0000000000000000000010420000000010001000000010001000000000000000 + 000000000000000000001042FF7F007C00000000000000000000000000001042 + 0000000000000000000000000000000000000000000000000000000000001042 + FF7F007C00000000000000000000000000001042000000001000100000001000 + 1000000000000000E07F0000E07F0000000010421863FF7F1863000000000000 + 00000000000010420000000000000000000000000000000000000000E07F0000 + E07F0000000010421863FF7F1863000000000000000000000000104200000000 + 10001000000010001000000000000000E07F0000E07F000000001042007CFF7F + 007C000000000000000000000000104200000000000000000000000000000000 + 00000000E07F0000E07F000000001042007CFF7F007C00000000000000000000 + 00001042000000001000100000001000100000000000E07F0000E07F0000E07F + 00001042FF7F1863FF7F18630000000000000000000010420000000000000000 + 00000000000000000000E07F0000E07F0000E07F00001042FF7F1863FF7F1863 + 000000000000000000001042000000001000100000001000100000000000E07F + 0000E07F0000E07F00001042FF7F007CFF7F007C000000000000000000001042 + 000000000000000000000000000000000000E07F0000E07F0000E07F00001042 + FF7F007CFF7F007C000000000000000000001042000000001000100000001000 + 1000000000000000E07FE07FE07F0000000010421863FF7F1863FF7F18630000 + 00000000000010420000000000000000000000000000000000000000E07FE07F + E07F0000000010421863FF7F1863FF7F18630000000000000000104200000000 + 00000000000000000000000000000000E07FE07FE07F000000001042007CFF7F + 007CFF7F007C0000000000000000104200000000000000000000000000000000 + 00000000E07FE07FE07F000000001042007CFF7F007CFF7F007C000000000000 + 00001042000000000000000000000000000000000000E07F0000E07F0000E07F + 00001042FF7F1863FF7F1863FF7F186300000000000010420000000000000000 + 00000000000000000000E07F0000E07F0000E07F00001042FF7F1863FF7F1863 + FF7F18630000000000001042000000000000100010001000000000000000E07F + 0000E07F0000E07F00001042FF7F007CFF7F007CFF7F007C0000000000001042 + 000000000000000000000000000000000000E07F0000E07F0000E07F00001042 + FF7F007CFF7F007CFF7F007C0000000000001042000000000000100010001000 + 0000000000000000E07F0000E07F0000000010421863FF7F1863FF7F1863FF7F + 18630000000010420000000000000000000000000000000000000000E07F0000 + E07F0000000010421863FF7F1863FF7F1863FF7F186300000000104200000000 + 10001000000010001000000000000000E07F0000E07F000000001042007CFF7F + 007CFF7F007CFF7F007C00000000104200000000000000000000000000000000 + 00000000E07F0000E07F000000001042007CFF7F007CFF7F007CFF7F007C0000 + 0000104200000000100010000000100010000000000000000000000000000000 + 00001042FF7F1863FF7F1863FF7F1863FF7F1863000010420000000000000000 + 000000000000000000000000000000000000000000001042FF7F1863FF7F1863 + FF7F1863FF7F1863000010420000000010001000000010001000000000000000 + 000000000000000000001042FF7F007CFF7F007CFF7F007CFF7F007C00001042 + 0000000000000000000000000000000000000000000000000000000000001042 + FF7F007CFF7F007CFF7F007CFF7F007C00001042000000001000100000001000 + 1000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000000000000000000000000000000000 + 0000000000001042104210421042104210421042104210421042104200000000 + 1000100010001000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000104210421042104210421042104210421042 + 1042104200000000100010001000100000000000000000000000000000000000 + 00001042FF03FF03FF03FF03FF03FF03FF03FF03FF0310420000000000000000 + 000000000000000000000000000000000000000000001042FF03FF03FF03FF03 + FF03FF03FF03FF03FF0310420000000010001000000000000000000000000000 + 000000000000000000001042FF03FF03FF03FF03FF03FF03FF03FF03FF031042 + 0000000000000000000000000000000000000000000000000000000000001042 + FF03FF03FF03FF03FF03FF03FF03FF03FF031042000000001000100000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000000000000000000000000000000000 + 0000000000001042104210421042104210421042104210421042104200000000 + 0000100010001000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000104210421042104210421042104210421042 + 1042104200000000000010001000100000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000100010000000000000000000000000000000000000001042104210421042 + 1042104210421042104210421042000000000000000010001000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000010001000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000001000 + 1000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000001000100000000000000000000000 + 0000000000001863186318631863186318631863186318631863186310420000 + 0000000000001000100000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000100010000000 + 0000000000000000000000000000104210421042104210421042104210421042 + 1042104200000000000000000000100010000000000000000000000000000000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000010001000 + 1000100010000000000000000000000000000000000018631863FF7F1863FF7F + 186300000000FF7F186318631042000010001000100010001000000000000000 + 000000000000000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 0000000010001000100010001000000000000000000000000000000000001042 + 007CFF7F007CFF7F007C00000000FF7F007C1042000000001000100010001000 + 1000000000000000000000000000000000001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F1042000000001000100000001000100000000000000000000000 + 0000000000001863FF7F1863FF7F1863FF7F000000001863FF7F186310420000 + 10001000000010001000000000000000000000000000000000001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000100010000000100010000000 + 00000000000000000000000000001042FF7F007CFF7F007CFF7F00000000007C + FF7F10420000000010001000000010001000000000000000E07F0000E07F0000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000010001000 + 000010001000000000000000E07F0000E07F0000000018631863FF7F1863FF7F + 186300000000FF7F186318631042000010001000000010001000000000000000 + E07F0000E07F000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 0000000010001000000010001000000000000000E07F0000E07F000000001042 + 007CFF7F007CFF7F007C00000000FF7F007C1042000000001000100000001000 + 100000000000E07F0000E07F0000E07F00001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F1042000000001000100000001000100000000000E07F0000E07F + 0000E07F00001863FF7F1863000018630000000000001863FF7F186310420000 + 1000100000001000100000000000E07F0000E07F0000E07F00001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000100010000000100010000000 + 0000E07F0000E07F0000E07F00001042FF7F007C0000007C000000000000007C + FF7F10420000000010001000000010001000000000000000E07FE07FE07F0000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000000000000 + 000000000000000000000000E07FE07FE07F0000000018631863FF7F00000000 + 000000001863FF7F186318631042000000000000000000000000000000000000 + E07FE07FE07F000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 0000000000000000000000000000000000000000E07FE07FE07F000000001042 + 007CFF7F0000000000000000007CFF7F007C1042000000000000000000000000 + 000000000000E07F0000E07F0000E07F00001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F1042000000000000100010001000000000000000E07F0000E07F + 0000E07F00001863FF7F18630000000000001863FF7F1863FF7F186310420000 + 0000100010001000000000000000E07F0000E07F0000E07F00001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000000010001000100000000000 + 0000E07F0000E07F0000E07F00001042FF7F007C000000000000007CFF7F007C + FF7F10420000000000001000100010000000000000000000E07F0000E07F0000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000010001000 + 000010001000000000000000E07F0000E07F0000000018631863FF7F00000000 + 000000001863FF7F186318631042000010001000000010001000000000000000 + E07F0000E07F000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 0000000010001000000010001000000000000000E07F0000E07F000000001042 + 007CFF7F0000000000000000007CFF7F007C1042000000001000100000001000 + 1000000000000000000000000000000000001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F1042000000001000100000001000100000000000000000000000 + 0000000000001863FF7F1863FF7F1863FF7F1863FF7F1863FF7F186310420000 + 10001000000010001000000000000000000000000000000000001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000100010000000100010000000 + 00000000000000000000000000001042FF7F007CFF7F007CFF7F007CFF7F007C + FF7F104200000000100010000000100010000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000010001000 + 1000100000000000000000000000000000000000000018631863186318631863 + 1863186318631863186318631042000010001000100010000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000010001000100010000000000000000000000000000000000000001042 + 1042104210421042104210421042104210421042000000001000100010001000 + 0000000000000000000000000000000000001042FF03FF03FF03FF03FF03FF03 + FF03FF03FF031042000000001000100000000000000000000000000000000000 + 0000000000001863FF03FF7FFF03FF7FFF03FF7FFF03FF7FFF03186310420000 + 10001000000000000000000000000000000000000000000000001042FF03FF03 + FF03FF03FF03FF03FF03FF03FF03104200000000100010000000000000000000 + 00000000000000000000000000001042FF03FF03FF03FF03FF03FF03FF03FF03 + FF03104200000000100010000000000000000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000001000 + 1000100000000000000000000000000000000000000018631863186318631863 + 1863186318631863186318630000000000001000100010000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000001000100010000000000000000000000000000000000000001042 + 1042104210421042104210421042104210421042000000000000100010001000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000104210421042104210421042104210421042104210420000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000000000000000000000000000000000000000000018631863186318631863 + 1863186318631863186318631042000000000000000000000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000000000000000000000000000000000000000000000000000001042 + 1042104210421042104210421042104210421042000000000000000000000000 + 00000000000000000000000000000000000010421863FF7F1863FF7F1863FF7F + 1863FF7F18631042000000000000000000000000000000000000000000000000 + 00000000000018631863FF7F1863FF7F186300000000FF7F1863186310420000 + 00000000000000000000000000000000000000000000000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000000000000000000000000000 + 00000000000000000000000000001042007CFF7F007CFF7F007C00000000FF7F + 007C104200000000000000000000000000000000000000000000000000000000 + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000000000000 + 000000000000000000000000000000000000000000001863FF7F1863FF7F1863 + FF7F000000001863FF7F18631042000000000000000000000000000000000000 + 000000000000000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 0000000000000000000000000000000000000000000000000000000000001042 + FF7F007CFF7F007CFF7F00000000007CFF7F1042000000000000000000000000 + 0000000000000000E07F0000E07F0000000010421863FF7F1863FF7F1863FF7F + 1863FF7F186310420000000000000000000000000000000000000000E07F0000 + E07F0000000018631863FF7F1863FF7F186300000000FF7F1863186310420000 + 00000000000000000000000000000000E07F0000E07F000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000000000000000000000000000 + 00000000E07F0000E07F000000001042007CFF7F007CFF7F007C00000000FF7F + 007C1042000000000000000000000000000000000000E07F0000E07F0000E07F + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000000000000 + 00000000000000000000E07F0000E07F0000E07F00001863FF7F186300001863 + 0000000000001863FF7F1863104200000000000000000000000000000000E07F + 0000E07F0000E07F00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 000000000000000000000000000000000000E07F0000E07F0000E07F00001042 + FF7F007C0000007C000000000000007CFF7F1042000000000000000000000000 + 0000000000000000E07FE07FE07F0000000010421863FF7F1863FF7F1863FF7F + 1863FF7F186310420000000000000000000000000000000000000000E07FE07F + E07F0000000018631863FF7F00000000000000001863FF7F1863186310420000 + 00000000000000000000000000000000E07FE07FE07F000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000000000000000000000000000 + 00000000E07FE07FE07F000000001042007CFF7F0000000000000000007CFF7F + 007C1042000000000000000000000000000000000000E07F0000E07F0000E07F + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000000000000 + 00000000000000000000E07F0000E07F0000E07F00001863FF7F186300000000 + 00001863FF7F1863FF7F1863104200000000000000000000000000000000E07F + 0000E07F0000E07F00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 000000000000000000000000000000000000E07F0000E07F0000E07F00001042 + FF7F007C000000000000007CFF7F007CFF7F1042000000000000000000000000 + 0000000000000000E07F0000E07F0000000010421863FF7F1863FF7F1863FF7F + 1863FF7F186310420000000000000000000000000000000000000000E07F0000 + E07F0000000018631863FF7F00000000000000001863FF7F1863186310420000 + 00000000000000000000000000000000E07F0000E07F000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000000000000000000000000000 + 00000000E07F0000E07F000000001042007CFF7F0000000000000000007CFF7F + 007C104200000000000000000000000000000000000000000000000000000000 + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000000000000 + 000000000000000000000000000000000000000000001863FF7F1863FF7F1863 + FF7F1863FF7F1863FF7F18631042000000000000000000000000000000000000 + 000000000000000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 0000000000000000000000000000000000000000000000000000000000001042 + FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042000000000000000000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000000000000000000000000000000000 + 0000000000001863186318631863186318631863186318631863186310420000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000104210421042104210421042104210421042 + 1042104200000000000000000000000000000000000000000000000000000000 + 00001042FF03FF03FF03FF03FF03FF03FF03FF03FF0310420000000000000000 + 000000000000000000000000000000000000000000001863FF03FF7FFF03FF7F + FF03FF7FFF03FF7FFF0318631042000000000000000000000000000000000000 + 000000000000000000001042FF03FF03FF03FF03FF03FF03FF03FF03FF031042 + 0000000000000000000000000000000000000000000000000000000000001042 + FF03FF03FF03FF03FF03FF03FF03FF03FF031042000000000000000000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000000000000000000000000000000000 + 0000000000001863186318631863186318631863186318631863186300000000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000104210421042104210421042104210421042 + 1042104200000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000100010000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000010001000000000000000 + 0000000000000000000000000000000000000000E07FE07FE07FE07F00000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000007C007C007C007C00000000000000000000000000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000001000100000000000000000000000 + 0000000000001042104210421042104210421042104210421042104200000000 + 0000000000001000100000000000000000000000000000000000000000000000 + E07FE07FE07F00000000E07FE07FE07F00000000000000000000000000000000 + 0000000000000000000000000000000000000000007C007C007C00000000007C + 007C007C000000000000000000000000000010421F001F001F001F001F000000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000010001000 + 10001000100010421F001F001F001F001F00000000001042007CFF7F007CFF7F + 007C00000000FF7F007C10420000000010001000100010001000000000000000 + 0000000000000000000000000042E07FE07FE07FE07F00000000E07FE07FE07F + E07F000000000000000000000000000000000000000000000000000000000000 + 0040007C007C007C007C00000000007C007C007C007C00000000000000000000 + 00001042FF7FFF7F186318631863000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F104200000000100010000000100010001042FF7FFF7F18631863 + 1863000000001042FF7F007CFF7F007CFF7F00000000007CFF7F104200000000 + 100010000000100010000000000000000000000000000000000000000042E07F + E07FE07FE07FE07FE07FE07FE07FE07FE07F0000000000000000000000000000 + 000000000000000000000000000000000040007C007C007C007C007C007C007C + 007C007C007C0000000000000000000000001042FF7FFF7F1863186318630000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000010001000 + 0000100010001042FF7FFF7F186318631863000000001042007CFF7F007CFF7F + 007C00000000FF7F007C10420000000010001000000010001000000000000000 + E07F0000E07F000000000042E07FE07FE07FE07FE07F00000000E07FE07FE07F + E07FE07F00000000000000000000000000000000E07F0000E07F000000000040 + 007C007C007C007C007C00400040007C007C007C007C007C0000000000000000 + 000000001042FF7F186318630000000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F1042000000001000100000001000100000001042FF7F18631863 + 0000000000001042FF7F007C0000007C000000000000007CFF7F104200000000 + 1000100000001000100000000000E07F0000E07F0000E07F00000042E07FE07F + E07FE07FE07F00000000E07FE07FE07FE07FE07F000000000000000000000000 + 0000E07F0000E07F0000E07F00000040007C007C007C007C007C00000000007C + 007C007C007C007C000000000000000000000000000010421863000000000000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000000000000 + 000000000000000000001042186300000000000000001042007CFF7F00000000 + 00000000007CFF7F007C10420000000000000000000000000000000000000000 + E07FE07FE07F000000000042E07FE07FE07FE07FE07FE07F00000000E07FE07F + E07FE07F00000000000000000000000000000000E07FE07FE07F000000000040 + 007C007C007C007C007C00000000007C007C007C007C007C0000000000000000 + 0000000000001042186300000000000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F1042000000000000100010001000000000000000104218630000 + 0000000000001042FF7F007C000000000000007CFF7F007CFF7F104200000000 + 0000100010001000000000000000E07F0000E07F0000E07F00000042E07FE07F + E07FE07FE07FE07FE07F00000000E07FE07FE07F000000000000000000000000 + 0000E07F0000E07F0000E07F00000040007C007C007C007C0040000000000040 + 007C007C007C007C000000000000000000000000104218631863186300000000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000010001000 + 000010001000000010421863186318630000000000001042007CFF7F00000000 + 00000000007CFF7F007C10420000000010001000000010001000000000000000 + E07F0000E07F0000000000000042E07FE07F00000000E07FE07F00000000E07F + E07F000000000000000000000000000000000000E07F0000E07F000000000000 + 0040007C007C007C0040000000000040007C007C007C00000000000000000000 + 0000104218631863186318631863000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F1042000000001000100000001000100010421863186318631863 + 1863000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F104200000000 + 100010000000100010000000000000000000000000000000000000000042E07F + E07F000000000000000000000000E07FE07F0000000000000000000000000000 + 000000000000000000000000000000000040007C007C007C0040000000000040 + 007C007C007C0000000000000000000000001042FF7FFF7FFF7F186318630000 + 0000104210421042104210421042104210421042104210420000000010001000 + 1000100000001042FF7FFF7FFF7F186318630000000010421042104210421042 + 1042104210421042104210420000000010001000100010000000000000000000 + 00000000000000000000000000000042E07FE07F0000000000000000E07FE07F + 0000000000000000000000000000000000000000000000000000000000000000 + 00000040007C007C007C00000000007C007C007C000000000000000000000000 + 000010421F001F001F001F001F00000000001042FF03FF03FF03FF03FF03FF03 + FF03FF03FF031042000000001000100000000000000010421F001F001F001F00 + 1F00000000001042FF03FF03FF03FF03FF03FF03FF03FF03FF03104200000000 + 1000100000000000000000000000000000000000000000000000000000000000 + 00420042E07FE07FE07FE07F0042004200000000000000000000000000000000 + 000000000000000000000000000000000000000000400040007C007C007C007C + 0040004000000000000000000000000000000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000001000 + 1000100000000000000000000000000000000000000010421042104210421042 + 1042104210421042104210420000000000001000100010000000000000000000 + 0000000000000000000000000000000000000000004200420042004200000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000004000400040004000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000100010000000 + 0000000000000000000000000000000010421042104210421042104210421042 + 1042104210420000000000000000100010000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000000000000000000000000000000000000000000010421042104210421042 + 1042104210421042104210420000000000000000000000000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000000000000010001000000000000000000000000000000000001863 + 1863186318631863186318631863186318631863104200000000000000001000 + 100010421F001F001F001F001F00000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C1042000000000000000000000000000010421F001F001F001F00 + 1F00000000001042007CFF7F007CFF7F007C00000000FF7F007C104200000000 + 0000000000000000000010421F001F001F001F001F000000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000100010001000100010001042 + 1F001F001F001F001F000000000018631863FF7F1863FF7F186300000000FF7F + 1863186310420000100010001000100010001042FF7FFF7F1863186318630000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000000000000 + 0000000000001042FF7FFF7F186318631863000000001042FF7F007CFF7F007C + FF7F00000000007CFF7F104200000000000000000000000000001042FF7FFF7F + 186318631863000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 00000000100010000000100010001042FF7FFF7F186318631863000000001863 + FF7F1863FF7F1863FF7F000000001863FF7F1863104200001000100000001000 + 10001042FF7FFF7F186318631863000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C104200000000000000000000000000001042FF7FFF7F18631863 + 1863000000001042007CFF7F007CFF7F007C00000000FF7F007C104200000000 + 000000000000000000001042FF7FFF7F1863186318630000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000100010000000100010001042 + FF7FFF7F1863186318630000000018631863FF7F1863FF7F186300000000FF7F + 18631863104200001000100000001000100000001042FF7F1863186300000000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000000000000 + 00000000000000001042FF7F186318630000000000001042FF7F007C0000007C + 000000000000007CFF7F1042000000000000000000000000000000001042FF7F + 186318630000000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 000000001000100000001000100000001042FF7F186318630000000000001863 + FF7F1863000018630000000000001863FF7F1863104200001000100000001000 + 1000000000001042186300000000000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C1042000000000000000000000000000000000000104218630000 + 0000000000001042007CFF7F0000000000000000007CFF7F007C104200000000 + 000000000000000000000000000010421863000000000000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000000000000000000000000000 + 000010421863000000000000000018631863FF7F00000000000000001863FF7F + 1863186310420000000000000000000000000000000010421863000000000000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000000000000 + 000000000000000000001042186300000000000000001042FF7F007C00000000 + 0000007CFF7F007CFF7F10420000000000000000000000000000000000001042 + 186300000000000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 0000000000001000100010000000000000001042186300000000000000001863 + FF7F18630000000000001863FF7F1863FF7F1863104200000000100010001000 + 0000000010421863186318630000000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C1042000000000000000000000000000000001042186318631863 + 0000000000001042007CFF7F0000000000000000007CFF7F007C104200000000 + 000000000000000000000000104218631863186300000000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000100010000000100010000000 + 104218631863186300000000000018631863FF7F00000000000000001863FF7F + 1863186310420000100010000000100010001042186318631863186318630000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000000000000 + 000000000000104218631863186318631863000000001042FF7F007CFF7F007C + FF7F007CFF7F007CFF7F10420000000000000000000000000000104218631863 + 186318631863000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 0000000010001000000010001000104218631863186318631863000000001863 + FF7F1863FF7F1863FF7F1863FF7F1863FF7F1863104200001000100000001000 + 10001042FF7FFF7FFF7F18631863000000001042104210421042104210421042 + 104210421042104200000000000000000000000000001042FF7FFF7FFF7F1863 + 1863000000001042104210421042104210421042104210421042104200000000 + 000000000000000000001042FF7FFF7FFF7F1863186300000000104210421042 + 1042104210421042104210421042104200000000100010001000100000001042 + FF7FFF7FFF7F1863186300000000186318631863186318631863186318631863 + 18631863104200001000100010001000000010421F001F001F001F001F000000 + 00001042FF03FF03FF03FF03FF03FF03FF03FF03FF0310420000000000000000 + 00000000000010421F001F001F001F001F00000000001042FF03FF03FF03FF03 + FF03FF03FF03FF03FF031042000000000000000000000000000010421F001F00 + 1F001F001F00000000001042FF03FF03FF03FF03FF03FF03FF03FF03FF031042 + 000000001000100000000000000010421F001F001F001F001F00000000001863 + FF03FF7FFF03FF7FFF03FF7FFF03FF7FFF031863104200001000100000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000000000000000000000000000000000 + 0000000000001042104210421042104210421042104210421042104200000000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000010001000100000000000 + 0000000000000000000000000000186318631863186318631863186318631863 + 1863186300000000000010001000100000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000E07FE07FE07FE07F000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 007C007C007C007C000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 1042104210421042104210421042104210421042104200000000000000000000 + 000010421F001F001F001F001F0000000000000000000000E07FE07FE07F0000 + 0000E07FE07FE07F000000000000000000000000000010421F001F001F001F00 + 1F0000000000000000000000007C007C007C00000000007C007C007C00000000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000186318631863186318631863186318631863 + 1863186310420000000000000000000000001042FF7FFF7F1863186318630000 + 000000000042E07FE07FE07FE07F00000000E07FE07FE07FE07F000000000000 + 0000000000001042FF7FFF7F1863186318630000000000000040007C007C007C + 007C00000000007C007C007C007C00000000000000000000000010421F001F00 + 1F001F001F000000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 000000000000000000000000000010421F001F001F001F001F00000000001863 + 1863FF7F1863FF7F186300000000FF7F18631863104200000000000000000000 + 00001042FF7FFF7F1863186318630000000000000042E07FE07FE07FE07FE07F + E07FE07FE07FE07FE07F0000000000000000000000001042FF7FFF7F18631863 + 18630000000000000040007C007C007C007C007C007C007C007C007C007C0000 + 000000000000000000001042FF7FFF7F186318631863000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000000000000000000000001042 + FF7FFF7F186318631863000000001863FF7F1863FF7F1863FF7F000000001863 + FF7F1863104200000000000000000000000000001042FF7F1863186300000000 + 00000042E07FE07FE07FE07FE07F00000000E07FE07FE07FE07FE07F00000000 + 00000000000000001042FF7F186318630000000000000040007C007C007C007C + 007C00400040007C007C007C007C007C000000000000000000001042FF7FFF7F + 1863186318630000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 00000000000000000000000000001042FF7FFF7F186318631863000000001863 + 1863FF7F1863FF7F186300000000FF7F18631863104200000000000000000000 + 0000000000001042186300000000000000000042E07FE07FE07FE07FE07F0000 + 0000E07FE07FE07FE07FE07F0000000000000000000000000000104218630000 + 0000000000000040007C007C007C007C007C00000000007C007C007C007C007C + 0000000000000000000000001042FF7F186318630000000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000000000000000000000000000 + 1042FF7F186318630000000000001863FF7F1863000018630000000000001863 + FF7F186310420000000000000000000000000000000010421863000000000000 + 00000042E07FE07FE07FE07FE07FE07F00000000E07FE07FE07FE07F00000000 + 000000000000000000001042186300000000000000000040007C007C007C007C + 007C00000000007C007C007C007C007C00000000000000000000000000001042 + 1863000000000000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 0000000000000000000000000000000000001042186300000000000000001863 + 1863FF7F00000000000000001863FF7F18631863104200000000000000000000 + 0000000010421863186318630000000000000042E07FE07FE07FE07FE07FE07F + E07F00000000E07FE07FE07F0000000000000000000000001042186318631863 + 0000000000000040007C007C007C007C0040000000000040007C007C007C007C + 00000000000000000000000000001042186300000000000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000000000000000000000000000 + 00001042186300000000000000001863FF7F18630000000000001863FF7F1863 + FF7F186310420000000000000000000000001042186318631863186318630000 + 000000000042E07FE07F00000000E07FE07F00000000E07FE07F000000000000 + 0000000000001042186318631863186318630000000000000040007C007C007C + 0040000000000040007C007C007C000000000000000000000000000010421863 + 1863186300000000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 0000000000000000000000000000000010421863186318630000000000001863 + 1863FF7F00000000000000001863FF7F18631863104200000000000000000000 + 00001042FF7FFF7FFF7F186318630000000000000042E07FE07F000000000000 + 000000000000E07FE07F0000000000000000000000001042FF7FFF7FFF7F1863 + 18630000000000000040007C007C007C0040000000000040007C007C007C0000 + 00000000000000000000104218631863186318631863000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000000000000000000000001042 + 18631863186318631863000000001863FF7F1863FF7F1863FF7F1863FF7F1863 + FF7F1863104200000000000000000000000010421F001F001F001F001F000000 + 0000000000000042E07FE07F0000000000000000E07FE07F0000000000000000 + 00000000000010421F001F001F001F001F0000000000000000000040007C007C + 007C00000000007C007C007C00000000000000000000000000001042FF7FFF7F + FF7F186318630000000010421042104210421042104210421042104210421042 + 00000000000000000000000000001042FF7FFF7FFF7F18631863000000001863 + 1863186318631863186318631863186318631863104200000000000000000000 + 00000000000000000000000000000000000000000000000000420042E07FE07F + E07FE07F00420042000000000000000000000000000000000000000000000000 + 00000000000000000000000000400040007C007C007C007C0040004000000000 + 0000000000000000000010421F001F001F001F001F00000000001042FF03FF03 + FF03FF03FF03FF03FF03FF03FF03104200000000000000000000000000001042 + 1F001F001F001F001F00000000001863FF03FF7FFF03FF7FFF03FF7FFF03FF7F + FF03186310420000000000000000000000000000000000000000000000000000 + 0000000000000000000000000042004200420042000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0040004000400040000000000000000000000000000000000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000000000000000000000000000000000000000000000000000001863 + 1863186318631863186318631863186318631863000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001000100000000000000000000000 + 0000000000000000104210421042104210421042104210421042104210420000 + 0000000000001000100000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000100010000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000100010000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000100010000000000000000000000000000000000018631863186318631863 + 1863186318631863186318631042000000000000000010001000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000000000000010001000000000000000000000000000000000001042 + 1042104210421042104210421042104210421042000000000000000000001000 + 10000000104200000000000000000000000010421863FF7F1863FF7F1863FF7F + 1863FF7F18631042000000001000100010001000100000001042000000000000 + 00000000000018631863FF7F1863FF7F186300000000FF7F1863186310420000 + 10001000100010001000000010420000000000000000000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000100010001000100010000000 + 10420000000000000000000000001042007CFF7F007CFF7F007C00000000FF7F + 007C104200000000100010001000100010000000000000000000000000000000 + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000010001000 + 000010001000000000000000000000000000000000001863FF7F1863FF7F1863 + FF7F000000001863FF7F18631042000010001000000010001000000000000000 + 000000000000000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 0000000010001000000010001000000000000000000000000000000000001042 + FF7F007CFF7F007CFF7F00000000007CFF7F1042000000001000100000001000 + 10000000000000000000000000000000000010421863FF7F1863FF7F1863FF7F + 1863FF7F18631042000000001000100000001000100000000000000000000000 + 00000000000018631863FF7F1863FF7F186300000000FF7F1863186310420000 + 10001000000010001000000000000000000000000000000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000100010000000100010000000 + 00000000000000000000000000001042007CFF7F007CFF7F007C00000000FF7F + 007C104200000000100010000000100010000000000000000000000000000000 + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000010001000 + 000010001000000000000000000000000000000000001863FF7F186300001863 + 0000000000001863FF7F18631042000010001000000010001000000000000000 + 000000000000000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 0000000010001000000010001000000000000000000000000000000000001042 + FF7F007C0000007C000000000000007CFF7F1042000000001000100000001000 + 10000000000000000000000000000000000010421863FF7F1863FF7F1863FF7F + 1863FF7F18631042000000000000000000000000000000000000000000000000 + 00000000000018631863FF7F00000000000000001863FF7F1863186310420000 + 00000000000000000000000000000000000000000000000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000000000000000000000000000 + 00000000000000000000000000001042007CFF7F0000000000000000007CFF7F + 007C104200000000000000000000000000001042104210421042104210420000 + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000000001000 + 100010000000104210421042104210421042000000001863FF7F186300000000 + 00001863FF7F1863FF7F18631042000000001000100010000000104210421042 + 104210421042000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 0000000000001000100010000000104210421042104210421042000000001042 + FF7F007C000000000000007CFF7F007CFF7F1042000000000000100010001000 + 00001042FF7FFF7FFF7FFF7F10420000000010421863FF7F1863FF7F1863FF7F + 1863FF7F1863104200000000100010000000100010001042FF7FFF7FFF7FFF7F + 10420000000018631863FF7F00000000000000001863FF7F1863186310420000 + 100010000000100010001042FF7FFF7FFF7FFF7F1042000000001042007CFF7F + 007CFF7F007CFF7F007CFF7F007C104200000000100010000000100010001042 + FF7FFF7FFF7FFF7F1042000000001042007CFF7F0000000000000000007CFF7F + 007C104200000000100010000000100010001042FF7FFF7FFF7FFF7F10420000 + 00001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F10420000000010001000 + 0000100010001042FF7FFF7FFF7FFF7F1042000000001863FF7F1863FF7F1863 + FF7F1863FF7F1863FF7F186310420000100010000000100010001042FF7FFF7F + FF7FFF7F1042000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042 + 00000000100010000000100010001042FF7FFF7FFF7FFF7F1042000000001042 + FF7F007CFF7F007CFF7F007CFF7F007CFF7F1042000000001000100000001000 + 1000104210421042104210421042000000001042104210421042104210421042 + 1042104210421042000000001000100010001000000010421042104210421042 + 1042000000001863186318631863186318631863186318631863186310420000 + 1000100010001000000010421042104210421042104200000000104210421042 + 1042104210421042104210421042104200000000100010001000100000001042 + 1042104210421042104200000000104210421042104210421042104210421042 + 1042104200000000100010001000100000001042FF03FF03FF03FF0310420000 + 00001042FF03FF03FF03FF03FF03FF03FF03FF03FF0310420000000010001000 + 0000000000001042FF03FF03FF03FF031042000000001863FF03FF7FFF03FF7F + FF03FF7FFF03FF7FFF03186310420000100010000000000000001042FF03FF03 + FF03FF031042000000001042FF03FF03FF03FF03FF03FF03FF03FF03FF031042 + 00000000100010000000000000001042FF03FF03FF03FF031042000000001042 + FF03FF03FF03FF03FF03FF03FF03FF03FF031042000000001000100000000000 + 0000104210421042104210421042000000001042104210421042104210421042 + 1042104210421042000000000000100010001000000010421042104210421042 + 1042000000001863186318631863186318631863186318631863186300000000 + 0000100010001000000010421042104210421042104200000000104210421042 + 1042104210421042104210421042104200000000000010001000100000001042 + 1042104210421042104200000000104210421042104210421042104210421042 + 1042104200000000000010001000100000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000001042104210421042 + 1042104210421042104210421042000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000000000000000000000000000000000 + 0000000000001863186318631863186318631863186318631863186310420000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000104210421042104210421042104210421042 + 1042104200000000000000000000000000000000104200000000000000000000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000000000000 + 0000000000000000104200000000000000000000000018631863FF7F1863FF7F + 186300000000FF7F186318631042000000000000000000000000000010420000 + 000000000000000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 0000000000000000000000000000000010420000000000000000000000001042 + 007CFF7F007CFF7F007C00000000FF7F007C1042000000000000000000000000 + 0000000000000000000000000000000000001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F1042000000000000000000000000000000000000000000000000 + 0000000000001863FF7F1863FF7F1863FF7F000000001863FF7F186310420000 + 00000000000000000000000000000000000000000000000000001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000000000000000000000000000 + 00000000000000000000000000001042FF7F007CFF7F007CFF7F00000000007C + FF7F104200000000000000000000000000000000000000000000000000000000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000000000000 + 0000000000000000000000000000000000000000000018631863FF7F1863FF7F + 186300000000FF7F186318631042000000000000000000000000000000000000 + 000000000000000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 0000000000000000000000000000000000000000000000000000000000001042 + 007CFF7F007CFF7F007C00000000FF7F007C1042000000000000000000000000 + 0000000000000000000000000000000000001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F1042000000000000000000000000000000000000000000000000 + 0000000000001863FF7F1863000018630000000000001863FF7F186310420000 + 00000000000000000000000000000000000000000000000000001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000000000000000000000000000 + 00000000000000000000000000001042FF7F007C0000007C000000000000007C + FF7F104200000000000000000000000000000000000000000000000000000000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000000000000 + 0000000000000000000000000000000000000000000018631863FF7F00000000 + 000000001863FF7F186318631042000000000000000000000000000000000000 + 000000000000000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 0000000000000000000000000000000000000000000000000000000000001042 + 007CFF7F0000000000000000007CFF7F007C1042000000000000000000000000 + 0000104210421042104210421042000000001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F1042000000000000000000000000000010421042104210421042 + 1042000000001863FF7F18630000000000001863FF7F1863FF7F186310420000 + 00000000000000000000104210421042104210421042000000001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000000000000000000000001042 + 10421042104210421042000000001042FF7F007C000000000000007CFF7F007C + FF7F104200000000000000000000000000001042FF7FFF7FFF7FFF7F10420000 + 000010421863FF7F1863FF7F1863FF7F1863FF7F186310420000000000000000 + 0000000000001042FF7FFF7FFF7FFF7F10420000000018631863FF7F00000000 + 000000001863FF7F1863186310420000000000000000000000001042FF7FFF7F + FF7FFF7F1042000000001042007CFF7F007CFF7F007CFF7F007CFF7F007C1042 + 00000000000000000000000000001042FF7FFF7FFF7FFF7F1042000000001042 + 007CFF7F0000000000000000007CFF7F007C1042000000000000000000000000 + 00001042FF7FFF7FFF7FFF7F1042000000001042FF7F1863FF7F1863FF7F1863 + FF7F1863FF7F104200000000000000000000000000001042FF7FFF7FFF7FFF7F + 1042000000001863FF7F1863FF7F1863FF7F1863FF7F1863FF7F186310420000 + 000000000000000000001042FF7FFF7FFF7FFF7F1042000000001042FF7F007C + FF7F007CFF7F007CFF7F007CFF7F104200000000000000000000000000001042 + FF7FFF7FFF7FFF7F1042000000001042FF7F007CFF7F007CFF7F007CFF7F007C + FF7F104200000000000000000000000000001042104210421042104210420000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000000000001042104210421042104210420000000018631863186318631863 + 1863186318631863186318631042000000000000000000000000104210421042 + 1042104210420000000010421042104210421042104210421042104210421042 + 0000000000000000000000000000104210421042104210421042000000001042 + 1042104210421042104210421042104210421042000000000000000000000000 + 00001042FF03FF03FF03FF031042000000001042FF03FF03FF03FF03FF03FF03 + FF03FF03FF03104200000000000000000000000000001042FF03FF03FF03FF03 + 1042000000001863FF03FF7FFF03FF7FFF03FF7FFF03FF7FFF03186310420000 + 000000000000000000001042FF03FF03FF03FF031042000000001042FF03FF03 + FF03FF03FF03FF03FF03FF03FF03104200000000000000000000000000001042 + FF03FF03FF03FF031042000000001042FF03FF03FF03FF03FF03FF03FF03FF03 + FF03104200000000000000000000000000001042104210421042104210420000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000000000001042104210421042104210420000000018631863186318631863 + 1863186318631863186318630000000000000000000000000000104210421042 + 1042104210420000000010421042104210421042104210421042104210421042 + 0000000000000000000000000000104210421042104210421042000000001042 + 1042104210421042104210421042104210421042000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000001000100000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000001000100000000000000000000000000000000000000000000000 + 00000000E07FE07FE07FE07F0000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000007C007C007C007C + 0000000000000000000000000000000000000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000100010000000000000000000000000000000000010421042104210421042 + 1042104210421042104210420000000000000000000010001000000000000000 + 00000000000000000000000000000000E07FE07FE07F00000000E07FE07FE07F + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000007C007C007C00000000007C007C007C000000000000000000000000 + 0000000000000000000000000000000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C1042000000001000100010001000100000000000000000000000 + 0000000000001042007CFF7F007CFF7F007C00000000FF7F007C104200000000 + 100010001000100010000000104200000000000000000000000000000042E07F + E07FE07FE07F00000000E07FE07FE07FE07F0000000000000000000000000000 + 104200000000000000000000000000000040007C007C007C007C00000000007C + 007C007C007C0000000000000000000000000000000000000000000000000000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000010001000 + 000010001000000000000000000000000000000000001042FF7F007CFF7F007C + FF7F00000000007CFF7F10420000000010001000000010001000000000000000 + 0000000000000000000000000042E07FE07FE07FE07FE07FE07FE07FE07FE07F + E07F000000000000000000000000000000000000000000000000000000000000 + 0040007C007C007C007C007C007C007C007C007C007C00000000000000000000 + 0000000000000000000000000000000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C1042000000001000100000001000100000000000000000000000 + 0000000000001042007CFF7F007CFF7F007C00000000FF7F007C104200000000 + 10001000000010001000000000000000000000000000000000000042E07FE07F + E07FE07FE07F00000000E07FE07FE07FE07FE07F000000000000000000000000 + 00000000000000000000000000000040007C007C007C007C007C00400040007C + 007C007C007C007C000000000000000000000000000000000000000000000000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000010001000 + 000010001000000000000000000000000000000000001042FF7F007C0000007C + 000000000000007CFF7F10420000000010001000000010001000000000000000 + 000000000000000000000042E07FE07FE07FE07FE07F00000000E07FE07FE07F + E07FE07F00000000000000000000000000000000000000000000000000000040 + 007C007C007C007C007C00000000007C007C007C007C007C0000000000000000 + 0000000000000000000000000000000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C1042000000000000000000000000000000000000000000000000 + 0000000000001042007CFF7F0000000000000000007CFF7F007C104200000000 + 00000000000000000000000000000000000000000000000000000042E07FE07F + E07FE07FE07FE07F00000000E07FE07FE07FE07F000000000000000000000000 + 00000000000000000000000000000040007C007C007C007C007C00000000007C + 007C007C007C007C000000000000000000000000000000000000000000000000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000000001000 + 100010000000000000000000000000000000000000001042FF7F007C00000000 + 0000007CFF7F007CFF7F10420000000000001000100010000000104210421042 + 104210421042000000000042E07FE07FE07FE07FE07FE07FE07F00000000E07F + E07FE07F00000000000000000000104210421042104210421042000000000040 + 007C007C007C007C0040000000000040007C007C007C007C0000000000000000 + 0000000000000000000000000000000000001042007CFF7F007CFF7F007CFF7F + 007CFF7F007C1042000000001000100000001000100000000000000000000000 + 0000000000001042007CFF7F0000000000000000007CFF7F007C104200000000 + 100010000000100010001042FF7FFF7FFF7FFF7F10420000000000000042E07F + E07F00000000E07FE07F00000000E07FE07F0000000000000000000000001042 + FF7FFF7FFF7FFF7F10420000000000000040007C007C007C0040000000000040 + 007C007C007C0000000000000000000000000000000000000000000000000000 + 00001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F10420000000010001000 + 000010001000000000000000000000000000000000001042FF7F007CFF7F007C + FF7F007CFF7F007CFF7F104200000000100010000000100010001042FF7FFF7F + FF7FFF7F10420000000000000042E07FE07F000000000000000000000000E07F + E07F0000000000000000000000001042FF7FFF7FFF7FFF7F1042000000000000 + 0040007C007C007C0040000000000040007C007C007C00000000000000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000001000100010001000000000000000000000000000 + 0000000000001042104210421042104210421042104210421042104200000000 + 1000100010001000000010421042104210421042104200000000000000000042 + E07FE07F0000000000000000E07FE07F00000000000000000000000000001042 + 1042104210421042104200000000000000000040007C007C007C00000000007C + 007C007C00000000000000000000000000000000000000000000000000000000 + 00001042FF03FF03FF03FF03FF03FF03FF03FF03FF0310420000000010001000 + 000000000000000000000000000000000000000000001042FF03FF03FF03FF03 + FF03FF03FF03FF03FF03104200000000100010000000000000001042FF03FF03 + FF03FF0310420000000000000000000000420042E07FE07FE07FE07F00420042 + 00000000000000000000000000001042FF03FF03FF03FF031042000000000000 + 0000000000400040007C007C007C007C00400040000000000000000000000000 + 0000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000100010001000000000000000000000000000 + 0000000000001042104210421042104210421042104210421042104200000000 + 0000100010001000000010421042104210421042104200000000000000000000 + 0000000000420042004200420000000000000000000000000000000000001042 + 1042104210421042104200000000000000000000000000000040004000400040 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000010001000000000000000000000000000000000000000 + 1042104210421042104210421042104210421042104200000000000000001000 + 1000000000000000000000000000000000001042104210421042104210421042 + 1042104210421042000000000000000000000000000000000000000000000000 + 0000000000001042104210421042104210421042104210421042104200000000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000100010000000 + 0000000000000000000000000000186318631863186318631863186318631863 + 1863186310420000000000000000100010000000000000000000000000000000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000000000000 + 000000000000000000000000000000000000000000001042007CFF7F007CFF7F + 007C00000000FF7F007C10420000000000000000000000000000000000000000 + 0000000000000000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 0000000010001000100010001000000000000000000000000000000000001863 + 1863FF7F1863FF7F186300000000FF7F18631863104200001000100010001000 + 1000000000000000000000000000000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F1042000000000000000000000000000000000000000000000000 + 0000000000001042FF7F007CFF7F007CFF7F00000000007CFF7F104200000000 + 00000000000000000000000000000000000000000000000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000100010000000100010000000 + 00000000000000000000000000001863FF7F1863FF7F1863FF7F000000001863 + FF7F186310420000100010000000100010000000000000000000000000000000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000000000000 + 000000000000000000000000000000000000000000001042007CFF7F007CFF7F + 007C00000000FF7F007C10420000000000000000000000000000000000000000 + 0000000000000000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 0000000010001000000010001000000000000000000000000000000000001863 + 1863FF7F1863FF7F186300000000FF7F18631863104200001000100000001000 + 1000000000000000000000000000000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F1042000000000000000000000000000000000000000000000000 + 0000000000001042FF7F007C0000007C000000000000007CFF7F104200000000 + 00000000000000000000000000000000000000000000000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000100010000000100010000000 + 00000000000000000000000000001863FF7F1863000018630000000000001863 + FF7F186310420000100010000000100010000000000000000000000000000000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000000000000 + 000000000000000000000000000000000000000000001042007CFF7F00000000 + 00000000007CFF7F007C10420000000000000000000000000000000000000000 + 0000000000000000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 0000000000000000000000000000000000000000000000000000000000001863 + 1863FF7F00000000000000001863FF7F18631863104200000000000000000000 + 0000000000000000000000000000000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F1042000000000000000000000000000000000000000000000000 + 0000000000001042FF7F007C000000000000007CFF7F007CFF7F104200000000 + 00000000000000000000000000000000000000000000000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000000010001000100000000000 + 00000000000000000000000000001863FF7F18630000000000001863FF7F1863 + FF7F186310420000000010001000100000000000000000000000000000000000 + 00001042007CFF7F007CFF7F007CFF7F007CFF7F007C10420000000000000000 + 000000000000000000000000000000000000000000001042007CFF7F00000000 + 00000000007CFF7F007C10420000000000000000000000000000000000000000 + 0000000000000000000010421863FF7F1863FF7F1863FF7F1863FF7F18631042 + 0000000010001000000010001000000000000000000000000000000000001863 + 1863FF7F00000000000000001863FF7F18631863104200001000100000001000 + 1000000000000000000000000000000000001042FF7F007CFF7F007CFF7F007C + FF7F007CFF7F1042000000000000000000000000000000000000000000000000 + 0000000000001042FF7F007CFF7F007CFF7F007CFF7F007CFF7F104200000000 + 00000000000000000000000000000000000000000000000000001042FF7F1863 + FF7F1863FF7F1863FF7F1863FF7F104200000000100010000000100010000000 + 00000000000000000000000000001863FF7F1863FF7F1863FF7F1863FF7F1863 + FF7F186310420000100010000000100010000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000000000000000000000000000000000000000000010421042104210421042 + 1042104210421042104210420000000000000000000000000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000010001000100010000000000000000000000000000000000000001863 + 1863186318631863186318631863186318631863104200001000100010001000 + 0000000000000000000000000000000000001042FF03FF03FF03FF03FF03FF03 + FF03FF03FF031042000000000000000000000000000000000000000000000000 + 0000000000001042FF03FF03FF03FF03FF03FF03FF03FF03FF03104200000000 + 00000000000000000000000000000000000000000000000000001042FF03FF03 + FF03FF03FF03FF03FF03FF03FF03104200000000100010000000000000000000 + 00000000000000000000000000001863FF03FF7FFF03FF7FFF03FF7FFF03FF7F + FF03186310420000100010000000000000000000000000000000000000000000 + 0000104210421042104210421042104210421042104210420000000000000000 + 0000000000000000000000000000000000000000000010421042104210421042 + 1042104210421042104210420000000000000000000000000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000001000100010000000000000000000000000000000000000001863 + 1863186318631863186318631863186318631863000000000000100010001000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000000000000000000000000000E07FE07F + E07FE07F00000000000000000000000000000000000000000000000000000000 + 00000000000000000000000000000000007C007C007C007C0000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000010421042104210421042104210421042 + 1042104210420000000000000000000000000000000000000000000000000000 + 0000000000000000E07FE07FE07F00000000E07FE07FE07F0000000000000000 + 00000000000000000000000000000000000000000000000000000000007C007C + 007C00000000007C007C007C0000000000000000000000000000000000000000 + 0000000000000000000010421042104210421042104210421042104210421042 + 0000000000000000000000000000000000000000000000000000000000001863 + 1863186318631863186318631863186318631863104200000000000000000000 + 00000000000000000000000000000000000000000042E07FE07FE07FE07F0000 + 0000E07FE07FE07FE07F00000000000000000000000000000000000000000000 + 00000000000000000040007C007C007C007C00000000007C007C007C007C0000 + 000000000000000000000000000000000000000000000000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000000000000000000000000000 + 000000000000000000000000000018631863FF7F1863FF7F186300000000FF7F + 1863186310420000000000000000000000000000000000000000000000000000 + 000000000042E07FE07FE07FE07FE07FE07FE07FE07FE07FE07F000000000000 + 0000000000000000000000000000000000000000000000000040007C007C007C + 007C007C007C007C007C007C007C000000000000000000000000000000000000 + 000000000000000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 0000000000000000000000000000000000000000000000000000000000001863 + FF7F1863FF7F1863FF7F000000001863FF7F1863104200000000000000000000 + 0000000000000000000000000000000000000042E07FE07FE07FE07FE07F0000 + 0000E07FE07FE07FE07FE07F0000000000000000000000000000000000000000 + 0000000000000040007C007C007C007C007C00400040007C007C007C007C007C + 000000000000000000000000000000000000000000000000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000000000000000000000000000 + 000000000000000000000000000018631863FF7F1863FF7F186300000000FF7F + 1863186310420000000000000000000000000000000000000000000000000000 + 00000042E07FE07FE07FE07FE07F00000000E07FE07FE07FE07FE07F00000000 + 000000000000000000000000000000000000000000000040007C007C007C007C + 007C00000000007C007C007C007C007C00000000000000000000000000000000 + 000000000000000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 0000000000000000000000000000000000000000000000000000000000001863 + FF7F1863000018630000000000001863FF7F1863104200000000000000000000 + 0000000000000000000000000000000000000042E07FE07FE07FE07FE07FE07F + 00000000E07FE07FE07FE07F0000000000000000000000000000000000000000 + 0000000000000040007C007C007C007C007C00000000007C007C007C007C007C + 000000000000000000000000000000000000000000000000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000000000000000000000000000 + 000000000000000000000000000018631863FF7F00000000000000001863FF7F + 1863186310420000000000000000000000000000000000000000000000000000 + 00000042E07FE07FE07FE07FE07FE07FE07F00000000E07FE07FE07F00000000 + 000000000000000000000000000000000000000000000040007C007C007C007C + 0040000000000040007C007C007C007C00000000000000000000000000000000 + 000000000000000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 0000000000000000000000000000000000000000000000000000000000001863 + FF7F18630000000000001863FF7F1863FF7F1863104200000000000000000000 + 00000000000000000000000000000000000000000042E07FE07F00000000E07F + E07F00000000E07FE07F00000000000000000000000000000000000000000000 + 00000000000000000040007C007C007C0040000000000040007C007C007C0000 + 000000000000000000000000000000000000000000000000000010421863FF7F + 1863FF7F1863FF7F1863FF7F1863104200000000000000000000000000000000 + 000000000000000000000000000018631863FF7F00000000000000001863FF7F + 1863186310420000000000000000000000000000000000000000000000000000 + 000000000042E07FE07F000000000000000000000000E07FE07F000000000000 + 0000000000000000000000000000000000000000000000000040007C007C007C + 0040000000000040007C007C007C000000000000000000000000000000000000 + 000000000000000000001042FF7F1863FF7F1863FF7F1863FF7F1863FF7F1042 + 0000000000000000000000000000000000000000000000000000000000001863 + FF7F1863FF7F1863FF7F1863FF7F1863FF7F1863104200000000000000000000 + 000000000000000000000000000000000000000000000042E07FE07F00000000 + 00000000E07FE07F000000000000000000000000000000000000000000000000 + 000000000000000000000040007C007C007C00000000007C007C007C00000000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000186318631863186318631863186318631863 + 1863186310420000000000000000000000000000000000000000000000000000 + 000000000000000000420042E07FE07FE07FE07F004200420000000000000000 + 0000000000000000000000000000000000000000000000000000000000400040 + 007C007C007C007C004000400000000000000000000000000000000000000000 + 000000000000000000001042FF03FF03FF03FF03FF03FF03FF03FF03FF031042 + 0000000000000000000000000000000000000000000000000000000000001863 + FF03FF7FFF03FF7FFF03FF7FFF03FF7FFF031863104200000000000000000000 + 0000000000000000000000000000000000000000000000000000000000420042 + 0042004200000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000400040004000400000000000000000 + 0000000000000000000000000000000000000000000000000000104210421042 + 1042104210421042104210421042104200000000000000000000000000000000 + 0000000000000000000000000000186318631863186318631863186318631863 + 1863186300000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000424D3E000000000000003E0000002800000068000000C300000001000100 + 00000000300C00000000000000000000000000000000000000000000FFFFFF00 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + 0000000000000000000000000000000000000000000000000000000000000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000F7800FFDE003CF7800FFDE003C000000 + FF000FFFC003CFF000FFFC003C000000F7000FFDC0020F7000FFDC0020000000 + BE000FEF80024BE000FEF80024000000C1000FF040024C1000FF040024000000 + C1000FF040024C1000FF04002400000041000FD04003F41000FD04003F000000 + C1000FF040031C1000FF040031000000C1000FF040024C1000FF040024000000 + BE000FEF80024BE000FEF80024000000F7000FFDC0021F7000FFDC0021000000 + FF000FFFC0027FF000FFFC0027000000F7001FFDC0071F7001FFDC0071000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + F7800F3DE003CF7800F3DE003C000000FF000F3FC003CFF000F3FC003C000000 + F700083DC0020F700083DC0020000000BE00092F80024BE00092F80024000000 + C100093040024C100093040024000000C100093040024C100093040024000000 + 41000FD04003F41000FD04003F000000C1000C7040031C1000C7040031000000 + C100093040024C100093040024000000BE00092F80024BE00092F80024000000 + F700087DC0021F700087DC0021000000FF0009FFC0027FF0009FFC0027000000 + F7001C7DC0071F7001C7DC0071000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000F7800FFDE003FF7800FFDE003F000000 + FF000FFFC003FFF000FFFC003F000000F7000FFDC003FF7000FFDC003F000000 + BE000FEF8003FBE000FEF8003F000000C1000FF04003FC1000FF04003F000000 + C1000FF04003FC1000FF04003F00000041000FD04003F41000FD04003F000000 + C1000FF04003FC1000FF04003F000000C1000FF04003FC1000FF04003F000000 + BE000FEF8003FBE000FEF8003F000000F7000FFDC003FF7000FFDC003F000000 + FF000FFFC003FFF000FFFC003F000000F7001FFDC007FF7001FFDC007F000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000FFFFFFFFFFFFFFFF87FFFFE1FF000000 + FF800F3FE003CF7E01FFDF807F00000001000F004003CFFC00FFFF003F000000 + 0100080040020F78007FDE001F0000000100090040024BE8007EFA001F000000 + 0100090040024C10003F04000F00000083000920C0024C10003F04000F000000 + C7000FF1C003F410003D04000F000000C7000C71C0031C10003F04000F000000 + 83000920C0024C18007F06001F0000000100090040024BE8007EFA001F000000 + 0100084040021F7C00FFDF003F000000010009C040027FFE01FFFF807F000000 + 01001C4040071F7F87FFDFE1FF000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000FF800FFFE003FFF800F3FE003C000000 + 01000FC04003F01000F004003C00000001000FC04003F0100080040020000000 + 01000FC04003F010009004002400000001000FC04003F0100090040024000000 + 83000FE0C003F83000920C0024000000C7000FF1C003FC7000FF1C003F000000 + C7000FF1C003FC7000C71C003100000083000FE0C003F83000920C0024000000 + 01000FC04003F010009004002400000001000FC04003F0100084040021000000 + 01000FC04003F010009C04002700000001001FC04007F01001C4040071000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000FFF87FFFFE1FFFFFFFFFFFFFFF000000 + 01E01FC07807FFF800FFFE003F00000001C00FC07003F01000FC04003F000000 + 018007C06001F01000FC04003F000000018007C06001F01000FC04003F000000 + 830003E0C000F01000FC04003F000000C70003F1C000F83000FE0C003F000000 + C70003F1C000FC7000FF1C003F000000830003E0C000FC7000FF1C003F000000 + 018007C06001F83000FE0C003F000000018007C06001F01000FC04003F000000 + 01C00FC07003F01000FC04003F00000001E01FC07807F01000FC04003F000000 + FFF87FFFFE1FF01001FC04007F000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000FB800F3EE003CFB800F3EE003C000000 + F9000F3E4003CF9000F3E4003C00000080000820000208000082000020000000 + B900092E40024B900092E40024000000BB00092EC0024BB00092EC0024000000 + DF000937C0024DF000937C002400000081000FE04003F81000FE04003F000000 + 01000C404003101000C404003100000001000900400240100090040024000000 + 0100090040024010009004002400000001000840400210100084040021000000 + 010009C040027010009C04002700000003001C40C007103001C40C0071000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + FB800FFEE003FFB800FFEE003F000000F9000FFE4003FF9000FFE4003F000000 + 80000FE00003F80000FE00003F000000B9000FEE4003FB9000FEE4003F000000 + BB000FEEC003FBB000FEEC003F000000DF000FF7C003FDF000FF7C003F000000 + 81000FE04003F81000FE04003F00000001000FC04003F01000FC04003F000000 + 01000FC04003F01000FC04003F00000001000FC04003F01000FC04003F000000 + 01000FC04003F01000FC04003F00000001000FC04003F01000FC04003F000000 + 03001FC0C007F03001FC0C007F000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + FFFFFFFFFFFFFFFF87FFFFE1FF000000FF800F3FE003CFBE01FFEF807F000000 + FF000F3FC003CF9C00FFE7003F000000FF00083FC0020808007E02001F000000 + FF00093FC0024B98007EE6001F000000FF00093FC0024BB0003EEC000F000000 + FF00093FC0024DF0003F7C000F000000FF000FFFC003F810003E04000F000000 + FF000C7FC0031010003C04000F000000FF00093FC0024018007C06001F000000 + FF00093FC0024018007C06001F000000FF00087FC002101C00FC07003F000000 + FF0009FFC002701E01FC07807F000000FF001C7FC007103F87FC0FE1FF000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + FF800FFFE003FFF800F3FE003C000000FF000FFFC003FFF000F3FC003C000000 + FF000FFFC003FFF00083FC0020000000FF000FFFC003FFF00093FC0024000000 + FF000FFFC003FFF00093FC0024000000FF000FFFC003FFF00093FC0024000000 + FF000FFFC003FFF000FFFC003F000000FF000FFFC003FFF000C7FC0031000000 + FF000FFFC003FFF00093FC0024000000FF000FFFC003FFF00093FC0024000000 + FF000FFFC003FFF00087FC0021000000FF000FFFC003FFF0009FFC0027000000 + FF001FFFC007FFF001C7FC0071000000FFFFFFFFFFFFFFFFFFFFFFFFFF000000 + FFF87FFFFE1FFFFFFFFFFFFFFF000000FFE01FFFF807FFF800FFFE003F000000 + FFC00FFFF003FFF000FFFC003F000000FF8007FFE001FFF000FFFC003F000000 + FF8007FFE001FFF000FFFC003F000000FF0003FFC000FFF000FFFC003F000000 + FF0003FFC000FFF000FFFC003F000000FF0003FFC000FFF000FFFC003F000000 + FF0003FFC000FFF000FFFC003F000000FF8007FFE001FFF000FFFC003F000000 + FF8007FFE001FFF000FFFC003F000000FFC00FFFF003FFF000FFFC003F000000 + FFE01FFFF807FFF000FFFC003F000000FFF87FFFFE1FFFF001FFFC007F000000 + FFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000000000 + 000000000000} + end +end diff --git a/outils/CE explorer/unit1.h b/outils/CE explorer/unit1.h new file mode 100644 index 0000000..bf02fa7 --- /dev/null +++ b/outils/CE explorer/unit1.h @@ -0,0 +1,37 @@ +//--------------------------------------------------------------------------- + +#ifndef Unit1H +#define Unit1H +//--------------------------------------------------------------------------- +#include +#include +#include +#include +#include +#include +#include +#include +//--------------------------------------------------------------------------- +class TForm1 : public TForm +{ +__published: // IDE-managed Components + TMainMenu *MainMenu1; + TMenuItem *Fichier1; + TMenuItem *Ouvrir1; + TOpenDialog *OpenDialog; + TTreeView *TreeView; + TImageList *ImageList; + TMenuItem *N1; + TMenuItem *Quitter1; + TRichEdit *RichEdit1; + void __fastcall Ouvrir1Click(TObject *Sender); + void __fastcall Quitter1Click(TObject *Sender); +private: // User declarations +public: // User declarations + __fastcall TForm1(TComponent* Owner); +}; +//--------------------------------------------------------------------------- +extern PACKAGE TForm1 *Form1; +//--------------------------------------------------------------------------- +#endif + \ No newline at end of file